From 597b2e74a739f3987b00a562164fa552aab5cf8a Mon Sep 17 00:00:00 2001 From: kicap Date: Thu, 22 Aug 2024 23:56:21 +0800 Subject: [PATCH] receive data from arduino uno and send to server, receive gate open/command and send it to uno --- image-1.png | Bin 0 -> 146338 bytes readme.md | 4 +++- src/main.cpp | 38 +++++++++++++++++++++++--------------- 3 files changed, 26 insertions(+), 16 deletions(-) create mode 100644 image-1.png diff --git a/image-1.png b/image-1.png new file mode 100644 index 0000000000000000000000000000000000000000..74033837b3a576fbb532cf85378e5bedc63ccfb7 GIT binary patch literal 146338 zcma&Nb9iMzvo{(|G_h^$*tTskxvKR^wmcYoxg zKthV66Y=D>7J~Fb8bZdCJc66gPy8^Z7D3 zFC~nkAYpj0pF|#TDvLp@%DQFD_t9NTJ61K-+p#S@^zq6AUnfNDb zaDagqE_h%sT~O*UFC^qU24sj$C@e}O%2wXcV{{Sc*dlcIevO&Fe%s5(hZ#I1qPorjr`wsL`|HG94+jfE$nQG zKHD`gv~zLhBO&?h=)ZseHBJ+Ei~s4#*6Baf0#1Rnv~V}E z))cj{0g?yU2R|ztBk#ZR|DT-y>G6Nn)cD^ung4gq|CRIquBql^;wWNg1MJe7|9@8I zKb8M?=6@>kGJMYbe^KJU$owxANN0XnUWWf(Gk(~iUrr4mAc7!LqC%?fpr<}i{@Q9w z_bfi9UKezKkFtV-AQC2t{gKj1bRr_c2qS)UQ|5zF=N^W3GvpJ6<&q$IhLQyZu}+`Z z4&Sf4nVOZnPk5P{)oG$gE=e_HCZ3LGX^rz8G`n3mZP-HB3UNgHiwlzGB2PlYIYC7A zc)xo-XR%G$Wy zwebo$Q!FPTqC(mqMGzWuubz~gQtP-ft7*StW_xxbuyK1VXe?*EZG+rm zMC?mw)rI+!ALiPGw{pw%!jg@uRrEmSwb!n>#;wVYO)Hm)#P z&C{kzHndm(CmM(dDi;=bk$!|s2m!|9mXhCO%s~;AlY4*vKGiZR>`#YJg zZWB^MDkIxCWC4??=XeznM*9>0Pw!g-Y4&O%Q)Sw8?p4)NF!u7a7pSefr#XjwbvEDd z6-|Gr=T}fR!#d3muDhP1869(o7;La-hR0N)Y6Uzg2ySj}MIE&-ozeJ%Ou!n4; zT`DQ^P%!1cUgX=~@D+&Q*{fm-OLXXWC&uh_G8K@IGLt#*pWjIutF%wdq z8v@kl#PVFBwx6Wj6a|uUv(?sfHq_r=GTa1Sez&B5($ri~MT8Ec?S|QP)>&WJ*3eA> zlC|OQ)bv#Fk6ZUYV3@)^^EvL{==D^(7*-=AS698 z|C50A3}i;U=LL-k#ed9f4uJp$Y9qo69d}DF0vEuqTaYkBks!ysU*Eu*XQv@fgao^Q z^kOP4Eln1h`!Wyxue@9s6llV_$sy6^?=N zkpGae|C}S18UVm0t=}G}^F^hLZFYf_jScp`rhH*0|B%nyAo4NlWE&wwJP*5J}%HmZB59H}cb<_m=}! z^OYMTWE(X{*$7i)FSeo@V|seJsu-M7vaZ+JpTUWVZwPDzu@b1;dAfLQ9pbq;$df^f z6aL5NkQ88Z^@I)Fe4)IY4xRDGn5K!y^N(@EGjmI&&&aXLPc^u?&g$=vrXd-k{V83<*xBCpRILo<74-Q$0w(Q%JLr@H z;35Hz4O-3MFF(<)oA-ii7yI_xs~|{Gaz#@;n5OC(p-pro2|Vf-&qDP})QBzb&BeFN z`OqAIV<-aR5hwx_OI0x%oS&7-f3S)eV49Kc4wwH})fn_ZJ|0$5BXIna ztpgaq^%}tN^SLhw^8dx(6L9IHfQ^fZSY7hfEQ=TaVa;oSKf_|HC)W4UXm<9G!O483 zxs?@p-kbQKV@%^kiwKAY-79h%%q!@Gl?~JLF!b$|ExRDgUP6m8{w93q27Nr8|kl}hOll` zBJutOx)<9A3$>zKf`Fmjb&ew$;^8LeT{}Lt_Z{DD)uDdvsmAk;n)hjYg)we*KZiT= zSP}C0qQ^?M4+GlJ&EpHf(+P`Yc&xCHC2ifh^L1+b?$a0W>5R|8gMMx_P`~h75JV_Y zD{bZk${etr{IkcMocA!Vi#E)K4R=0%_Xlw6<$9@L{zob2?M*}0bVh0#f|GfhUXKGm zbfa37-<7p#pwIO@33xo(I@Ilov-xBAIvPsa6Gp7q1miAK1LhEr%s^oVbv-v_#A6M? zZnG9rlIctLyP;1<5B=S?=cM0eZ$3yfRK?hF`6NH$Fy^Ckg-u0 zoZ?pZVs$H%$u{Feb9)fcIPvMS*1#OPI-PwfTiS`!d zzj!B0QFl#SSy5qJYs+(NX{nYyb+t1AX9NK>)i_QkNZ->F%!;UmT;DZFK3ft})z)q5Nfuda_VGFk7lZjBTV%JHqPw3Zbg1 zu75C|W$Di%QEcesQAg6^7@`&yT~k^UkYHH1tgH8}oPTez|GA}rg~|s5$gM>Az#SL` zXl=PM3KwL=D)R!2GpLubNACk#J04NVxt@J=trx$q;%8v>*3O+E>CdM%?K(R*uo;}4 zizmB|36Y?={F2Pf%CarfDm64&3|=G%CL}xeWf_^bfUJlevuytqT_5l%1QzZ!Uo|97>f!DrYch@$%=0S6 zH4%r*<~yE^UIo8rl_C^5$&rvyp%?XXcz(MuxT5J`<6kx3n__yY>v8S>9z`E3jdLfI zihqhv{Y&Cp^4>1DvD+|2Fi@!(^^JY?_1tafo=p*Sze5si9ICA%vZdr1Sx)Et$RL1S z$SMTKP^FuChG@ul#S_?^jjngHMvJ(hV?oSFs0)lTL2m8p^2AWa{XmlMs_< z2*dSPNqJ(_<_?^EV?*KL_TjtEl8l-uR!~7Pw6PjwH~)i$Omrp2B^v>U@5+Lw+BWQ# zG1q(Er1Xy%lcc#Y4wHJqd;xF7_WhY@k7rpMp_Jyz!Cr0`>tk!ez2sEO#~G{k3D76$ z+*|jjMXV0JnjNlLjtSv4Y3QMlWZXF)ZAlnn)TgJ{R4_B0@1j0s89*V<$ci)>#K~G! zkS#_Cu{_dH;5;N_?gFK1-=5Vh9XuzxT&EFTVE~xMH$pGPN!|^~F=lfMOtDnnn)Sc#fa%`5S4x--T7>d^QzK!E*b?*K86ff%^h}kdzN#c!jst9>;}+-rY1PS|Wh`B_IsE^RxfC9$^iVcKSs{#qvAn35!pEwtaC^}jy__FFeRn5R)L^_cAMo~ic#NA7e3eo`;f@57iJAY*p$Z zmZ+Fmxk;%j@tEZw5(;*CI>Pbl@YEOysR)9{cEvsFC3{G#x~>?3cvu^IxOu8W2Sn7* zO_=zVPqE}_^qS9}eW9Qz4?!%kxS*{$d}K~?Xjnwc2;VT@=<2=XB)Lt#kR5lXSZ%hE zh=DHNQV!fzjbnRXB~npED|Y!=T>EYAtD>q#uB2S;Skn9-18;dSZ6N`*d;*HYDzgYS zBEGHw>3QBHRT~ni;dTcl_T6Iff{LoPP&6$qt*e`yjRNZk1%bB*#UntO#zoshN?C{7 zx(8Gw2A%RHYz?fC^vhmgED;~umt-~a(JZ;fc~al(erq?8xJ!L?Nb09p6M8ejZME@~pjdeua+S~&MJ9A} zkN>NzOnH~GLHvq08IE;}f{beD@5AX*0W?!6c|jpw64I~w8l(Oox4yz~R5gK)rUL@m zbk^{DDTaNZP4ND-u@JZU+u)nXSNTj%FkH6TqaG>y8lK9I%1uniFO?3CQK@dB z3r7ftxt3!eUAgX34Cp^06x;2*3rC1c$0tg;(2qtZUqZ}R~ciFTPqv8;S%WkX6&M2^?>?>M^ ziZUzrsY!7|o5MI9YL5_ep>oV6;j^h(+UEV}Ah`;L9ub@?Wp(nzSne|j{+Rw%Rlg!| z;lu1m26nJbif&Qu@NBQSVGn&waoeMW80BT+d=xDptxV#ypxQVP>EX zo9$&s{(dk$Q{z2C&$*`axc_Z`Gf|F5Dr{&_L7~4knkT8`P!2OYY5;@2zcM8|V58%b zALlzaV-WyKEt+nz{x57wmM5>SUc1tr9a9FnK|~TZI(m`m`yuLwsI@gMPDaL-++fdQ zzBlWizZzkSfv=-zyw}|%+79z@qc|CnTJIMfO-Gqj?(ajCimWb>+^L$BNSDNZqhx6E z+2M%qH)PpwGu6lkkGxY4sG}{oKrQMMa>EmC4ES}4LH8XkA_Z4}=V#4W7SFeMK|e$} zvYx4uoNygN2J!b%&7`IZfKEHwR1##H?3k$$Z}-UseLk;=pob>*`SZ{jfR1kOS<&&l z#%Q3{)Bx$@U7ziKmYsImtf@i4wxO@rSRQPbeX~h=1 zms@M#BI&{@pf5X}f_wk>A!7zoEseE4sp?4I!X=j>p zQn*0JJ(W`~&S9aExVR%;1kLep`yxMwW)c z#6;$jN|G_4#$VktQQ9f-*ZQ+F%X!C@unD5K^_GO?Tg$d%rrS2zs@EHsvxm34&64LI zUF)cY94C~UoM+*ow$d$U?yR+ysEYW*PQ8zN4$l_T&GQ$(Sn`o?Q^B(eL*pSi0(UX6 zh{%7=tA@qNvpg*p>NDt|zrO--SO^aE-tbe8l&FI|o<3H;WROa8frS^yCy^#031-QD zd;&!zKl}sOqvC`pNY)5_OYl|co(_@CS!dMNag=>(tnmpcz56e_%*^IMhXkd?i$$B$0GXt3MR-blOY97Z@6t!o{gz?F8H>?pn zvLaXy@>^8T$pwnIpHSmW>T0DznCGB1OMid0t#S5B<_1s=b6YqDjhrcE|GL(}vkpS~ zHYp{c+;-H!0JgBrDch%AT|AoWqG zB*lka7el)LJ^YQ70fJ~X&(^?WPykP{+}-FZ0(YO3VzfIL&xhF7^F@e|;}#h(D%%(B zoV%p1E+aJ+R{TQ;E9xsDjwLjM`Bop0f zo6|xk%5YWN-sVzqn9^Qu6F=Bi2{FgglySI=;cI%0!ZtT|$&XquBfhf$kgraPDNo3f zGI}G05fMX9Z69|p_+3NDlKsx@!mIu3%tIbz-0%iYM}Lh$VbIXmpF6W>7_E+ZqrHqY z;^|y@Mo@o!#y3CN$Eqoximx1U0P=%=V$Vp=IXL$w5c69$2kN&hk3);jdjaV-*IZI0 z3K8ss`|IzoR~>{z;m1>k`{M8=dS2JjMT16g`H}ZR;cQ8;I6AldZyOzSy@#33VhIGx zHhT>^b!})SY|*10mO|u-E;7hl?Q+v?NB;n6c?c5eF!`2>3qWOWV545R=+D-tEyDM} zX&i2DM$&=x3evWKg3ugZP)(@qN8AGp73wh zP08vUzg8s9b9Kut6e?gZrf)P?R*Tp7Jl9V=8wP7`W!Dg;?w7?FK4DRCtN>_~e6l{~ zE!@#Zhoej>*dJQey!ZmoYaLRarYMGls*kJ*DOR{=fetlOSbX$^10xFZu*^HDZ#hAY z!FKm2uIh$+`)iA5#mlUM=+xv2u!&`*^zdu=Q1DIu)YVq&lLMba355O}%HrJ*jqc3&rgOY6L(^>J-hYdQS!KVs z`6uj-+#E#pv6aa67j~0qoIwIHDHxtc?}#%v-}?m7whAb>b*UNqEh!^|=-SN78z z1sW@i+NS%F6M*tgM^!xDykv=P0MnQleqtUi6(eHyr*W<{9lSiiv5uLK%S?6b=ckAu; zNGhKViF_JAwq9E1j}uI9#E+ZMgPPK&>=M)$_&zb|(06~R6`At5o8*>ae3Cs6->1q|88a`MTmX`0cx>D z$N)=!T$|=%d)=g1CXE!n3&OVaYh7J~b95Vk`Z~XyE&jG3<@HYFP)4_`V^$#ZP(xvR z!7`k(s1vlrq1EX?CTzP$QC3#I>I6sJOyjBUGGg!zlEA1klnepI@WUkNxWK4b`Tk=d z`vWAgA3ZNPJo$k#tt{YB4<~>hI_!)oQ8U1K26$G5Ac7&2iN+&o8mpB`n0RbZlvZC) zqg*`)E)*Ca(DPoN=ouVT>5R%7iFpe%Z!1V|2AZF*^f82{;8J zAe;KgkC}Nd`iQeH|8DP)Ki5z)Og38V&O~2;*Vsg`SLH!Fklr(D-Qz%E^Y~C-4HQ>C zb<7fSis=$3ABpIQ>#5i_Z><0DiZtNF#+1fU8D(=gV&Es8*5r^Sl%Mx5@*bGq$-;O~ zKOelgiwC2LEI&o`c+bGd0yD@;2ms~G7f%Ulhi%5_+z!G$_U>N%E&qH^oqy4%;}>Du zKVj?+EgFX;Lfa$&qx7n9(SF6-oA&lNF2|9V%(g=&^-ymy6Bid3#*Sh8XU3v95P@?_ zo?%*<_RugSecTm8$EATqFvs|)-spOF2w?Wy5D@{(d%tKz-F(~AsO^7){c?EcVz4vL zM~g1%hMSV|tf?-#Kx_zpfxrt(x_uU0xw2qzRl5hb!n9M{oY#ZBST3ugo07nLmm%U&I>#M{L;H>`x#{KXfxok5tnZkU3dei76*ac|@Q&&e zU@iz2bn6t}m2V^rRW_y=*d9&Wx!lLCE+{UBRMv2LzCEH28IcR0P%uj$E!2p@V?x>A zMJrxnE?{K)LK#CC>EN*h?fzFaDveVZ2-Z&jOwG^4_x%VJAcEC-oDX(V8txr8nHyVF zQ;*H^vOElx4rkl0i^hv-Ip~q_-$Qa(v4PCw_&^&?;{#{AljF-$TVUJVZLXW;n;nH? zpMHG@;f?ZZJ8GdhT--Zz$S*bX=}nYR4Tu~UfWmK8aQVlu7+}bSVTzsL!L2^&83`*c z2l9b)n*%$sq3hj!1q|_;=7zs;k|$K;vqPKfOn>JGf0?iHL@&%qPme+4=8D>#Iopn8 z5Eu6hp%%x*#0)J}DWO)bEUoIlo;$JccMyv*GtS~VmV{SbiV&4XR{ElP!GiT>qCq5x z%1TR1dcQS5b2T(P@yPs#1F#`+jrzy)s;bt9R}P5req83{5cAJE51#d4=y!)bq*Qfg zTrlMAq};Q@=jHoZL4+WHA*-grN*0oB<=HAH9Y~YR)aWqU!M?w2U~U?IZ&+N^AgjA> zo_$V~C4370Vs5mWXSG03?-dvpF`)?hvWLEBUoYMxr)2~cD>E`GC?N%dgNuufU0hN^ zGk4VS@y7f9lV zcB%cJh4=@Qxa^GTmfzPV$3I8J3ueH3S)@c|qjV|-Oolbk($h$OESWosAEt-u1vRc0 zBV$EDi>4xp_|mR1EE*RPMbu6s^<0Mkv05k-Jfu=729zq!77wZVjAygqqR+V;>T|Pa zgdeS-WL2>1i+E}A^e|S`llONgWCrnu*pl@R7=f4Ptvtpht|qy-xGah(%ng@OYqOhy z`97Tv(C(2M1EN|V8^BLRgN6u zIyZj2Fp+pS>QZj+U>B9A-5w<|n`))$@)v97`)3HDzGF7;%) zB}N&xz$=$1!62A;f?^-l;$V-X!(&IIiEKV;5;T(XiV9Vvq3bgAaz&*Le?e7dW~1m^ z<-5*VQ(+$o5QkGMw@}24VSGvRawU00;UC*~lv2rJpV+&VfL7#}gUo0>-W%eVIlmzA z$9En}k;EUJwiIXC>PdMh?&YXnzuFhqArt~*8NOji<(2DZku3}B=Fi)nbw8OgG4Z*n zGn2oBNy&+fU$GeI)S$5H-}v)$JSt@<1u674mo-cA_mRR292x7;eD_z#{$lGF?3ch- zJ&2qwnsaUkD)Pm-@tX)u{&y|ptRzS)FYwojctAo?%G_k| zC+x9R*y7@Kg)o}w#*D{ar-87v>t-(HGuIJ<4@N=Jsx!VE!h#(!qY{_jj&pyq9^ey$ zjc!I(PKE>qN0@dQkI#^i(}`IDV$x&36Nz~i+dN~|S?9|am&ET36aO8f^_|;(FL{&D z63f5m#TiwIC!8_TJ&QjvsA(a@%N?I!UrEln`1!(5NTI#wRFIH;78$6Q4jYLL{yup7 zZeRhtdwJ7MjutONo}Hhkj#XmeBOD$cP6}EW^nZbPM~1a%;Nh8^T>|4M8rI;ReB{nl z4NOOS>SH--Ttp(rP=Zz>6D1y?|9Ndt37)OncI?#r5`Bx+mWptfVa_`& zt_SYKiQ5XdI-qRSsa2mo!iTik^(326e zelT4`Ed07dXz{3U8u~;BwLiWy5l^lykRLFa`6KwNNBo2C4C%1~A@A;|rVab@8xMiJ zcimEj5(o-Vu+Y{+;Yb;mmX`7br6wi@{`djbJHrkbXP-{T7X29)mrEwF)Y#UBZ((7P zgupjAGNN*<9?x0?6A;mRs9(n6P>ftH=+T6o#v~zG(^y!BFxe3T4`y12Y*)1!wVK3_ z=(bL1QDa&d8~uYbCxR8wi?ze3f7IeCn^ym=jd+|@O_uJk2*a>f%J3UrH(lmUGJ^G+ zNLYRzSATXhb4l(z8vNGZ`>+xWA>pLP-xDRaB7!u=e#5}D z#U)uM1Zi%=iG9IofFHK*V^IrJXjKk={az}ZOz|?vF4fNKa*Q_1?lxuG+Y-TENvj3| zf1`KpsG6GmqdLnG&-X6Y?LnxZwJUOeTmJXrFuz<_job7E$VE-7#emW4kI2b-qZj^{ zV}^g8FcSI~Cw3hZVr0FF#|_z4rDcH9LWeAZd{XgAuh?D+-MoY%ap?y4%8X^_Hj+r`}TuFHK(fdaKeu>HBfr)(Lcr z1U|hgh=T-!$VH`X>xmb=1Q#ij8>ol2m@1PmvT|qd7Wr;{B?w{>FwB3?lZN z*yt3G)+Y`~$ax)fi>DQfNJ_G)jg<^l?vRIeIA3j+T`(PxpmFOQhQ*!+Ta#x)K`mXm z6eXe#B)%XIZIOVl=fgD`D43aqagqgnfNtaHFDfGS z+9peNx9c~V3i!J;_wkZ^U*BqZ}!Kenb92425^+JhJ7)0n?P_QX(lIE=biH4ymP5M z*nV8wPYm65`->7KhT}R$j1E#`MFu@K`Shpa*a-_GTrf!o5|_pOCnd&qTXW%HKeOm_s-_DLpEhn9I!bP+UvOe*zO5x(Qh2)co?gT|z9KnHF+>-pp(s+N69$*P@gWPN=f$G)mgdjV0c629I7A5d3sK5m(mH*(ivk~*nuZ|>m!tNR z5Ga7>&ri_Z4&r&xwvFR%!f`RL*v`(EdC%_s9YMNG%l^aed_Y6J21zT2bDmbKK~^es zTNbqeH{wk3G&rBzCkvaEj3zqHnEED%|8`R3_B&l~?8gQTpt_`y2yDeE?! zIKscrwA=6f^6RYn>9!AsV*{*$%QZAqyPF4h>!@_WKlb%TUi_960fGS8o(9zy>LXAY zNVVGTaB<_l`Sa`5?hxvOXDxHnbEy z54NazENzF2nZ=fppT+={V=LO-EA1kiFaxyEEz0VSXiL{`G+C9;tFa>uEtg$6Wml7= zUKe!+VR6~D;`)oENJ7GBD1|D~NH{4;gn_O8PA(HSVaoX#!5Vg6yX4w_U3jbZ^TxDK zk4C&7uQwVUwtP4lIBJ$0Fyoj~Or)eDeH7Afz16y&FwD$#SL4%nO%Hl9iYhCEg=5O# zN=*ujv0q>6Cn^`sPV^s1$_zb(;t1Ce;6a-i@TMHRE@E{*?PDU<8h{e^mW8axWZ+lGetucGK8&Om;zXpQxh`q9d^d(Z7)$@&BC zuVUkPo=fG!=QTnI^rwO9Q6Hq-DCPayw!w*eki#m^b^WCkl=uBvnpYOAetC?M#a)1U z7>p&+@#8oR@v67m1TZKsh(X?2k%YBaNK=4aSq0LNd@gRI!Xtgp+D#8SPraO-G{E>Z zJ9>8*tg4=Pn9FB-{rIkPz<4u3ajr=KG3QNNk~nLC&`;Z={@m<_NekVV2`QBHlc+5C z76i|0J-?RfP&HxNEEvLSb`-i(pS~j1hvRGga~EhL`CRT&S=|nJz^Hte#mr6e^DN~x z&d6777y6lUpWl#YS-NEX{ad)0<9!>LzOn;pt|&Q}Cy^&}h{1#B{a=(YUH$5`{^FB1 zeV?sWDURx{7$OB#r!qfr>^5OEID0;OJg4$F1Z;#q%&V$=S@FNFou2SOi+HfKV=JT$ zwPFpK;78)`v&E4&<3Q?XN$uafeynkp0M~u?^XrCpTMbnj+Tu=Yy`NGsko@b)C?^rC z24smakWWhI(VHTfGzDg%q^I38Smgbo?Uj_0uMMp~Ej7h6;K}yA5~*(*H1%25b<#|Q zeL3x{t|dRb&rL)za2S%ukhFJg0w0U%V(`r6L1Vavs_ z_WGJdkBGzPpuuQBLf^hSDstp0cs8QV16Q)!+D(M;YYP6fYfvU}$hfQNi1H40*d5An0nSA=S&)wrCp6wg^T5}V)9taxidb(j#3Gle&@sJir{43q+j>D zC_uYFo)BrdYCr8AF!$m&yz-GP*0ODG+B8--~2{L6Yb7B|>Yy`6DJvt()MhZ1RoVyzP;cN@m4 z-`bFQHC-3!L|dfIO5^sQZ)-@&7EOPaz#d;p(HYNlibC|0NqS!3FA)hnZUq9>5w2U( zH1JRQAg%eH!LgFeZm-)jBBfQndaI-!{aOp>W8$fauu_@)L3q=yEoSH)<39X%QQwC7 zp1X+=m#aw)d0Rg#oS^a3WfM4oQ##_1S(UBOm}fH02(!cMKU%*n@h6u_(5Vr`qQwqU zDEa|E7iuiUaZRIfW7Spy7u(BGm>fzkYp6p)O(yw91yL|leu$d>!5+gB*Tl3X;cVAp zXMSb7m0G6)0Q}LI2qY{2RH7G9#`H1xy&zS!J>v|s=cKqpO}j&NYxELw#HzX(nt_h5 z+9S-Z5<2A(=at4z*-U(uz8^m^jvP4|6eq?w18HBDS10CHMt^e5| zgv9`<9=hG(-w$5qZHq`H3e@>f=1~1iQx6h^bOK~9CNkw;;jI>WY@&p(8W8pEQ;!ry zVsWm^ri}Yd9+Eo8Rldk7ak}{8^vAJ6S+PJIrOvJfm{$iJ^M_;}x=uo;K3${lHTJA- ziA8NhxTAOAINqW#JN&wUT3hp9y}E%62j!CG14MWFiveNA#mc}W#J(TIJRAIyljD?@ zLu^)V`Po!|@(YF$!r}UMuItl`99}Dq9uH)yy95W)vP@i3Jzg~mO)(6%XzYL(o~)41 z9D#M^v45`sPirjK6k-s;k!K0`dMXNx{Knp=Sk7*N;>9;f|WNE4$$ z2&&0$Oq?4RU-g#GC$m7^i=aq;c*^1gGp2~S*G}}$A_*S0^ z=KdfvfQiq#+Stcfq;%;)hQr^LoCZV|Gib?r)db<~Mm;ebYak5=gts+GV55a*IW(&1M&EiD9@>(*tnxnaKPy8J9Fz#4y^e$Y;+ z8r!4`sW~n2Us-ayVS1y7ZMV}CXJaKiH%G3PTx&-IZ3lo(bnmVqcpcPHD~V1poz?c9 zo&NzM1C-7x_tpf9U-2r6fPVj-?UJ6(fpDKPG>%A3Sv4}k+I)Y*Zm~aa)wJbJ2uujI zSPsyc?xLlM$0q~XB95j${%paZr&9IGf!Bo5x*cAEean+Vi97;b%aHwjZVn^PACTtV%3!(}evqb0ejYn%x@}nQ$7GTmnhO zyx?VPX?=^))#jRZ_GvB$RjO&|le+g%ya|p2MqcShuC-OZuK5HBko8Xq3TFyjaC-MU z+Y}67Y_)0*Y?XXGFz~+blq=PFq3V8b`EvIMeo?IzoB$&bzFgL81)=9;x9<1vxVw-( ze6vkfk>BVTU&$>|)qGm;xxn7%6$!g0%p|$5$4OUv^zod6h^N-9AY#xyX_?P}LR`ci zzs{)~KOtqk#M>HdHh!o?i4hZ`7 zIJSVUN6GL*7+VdfYX?B|6tBey=uq$(`ojq{GbF=+;9)95QKMx)>^yq|DF;h5jw&H) zKi2QRUEwz5e+_E;drlm8fDXIJ`kEzioLI)5l|3>_Gri2sIUpY*wk!*E!s3c427E<5d%0=-2}5~S?om1(0cNm?#`C(X*Eu^k!5y^K&6Jb!@FG?%A2`G+yojk~OUmjT&Pcf$T z4s)a|`Ah3y(d)bdu~*7Pjy8YLexSy&Izr7PB=`>yM8XjdYiIjlXw>cNi|0Q~0T1tg zI5*I?hMB6iM1n=8TTzcu0A9I5NT>nGNw4-)_3cOhBFF$1VH$Qk)^IJnq)Dwr0LSCDlc8wynDF zM7!^wmtQ>}^F8hvG33f%*$YH7V`oUFK`j~x42U|T9~6DsJO2W9Xg_;Pf{+S%QBze` zW>w~HeVnCwoP#&hADT9Cf7}Y4T3#-bWTc>gtf{H7=Sa&pvAKmfur{93ni~Y2mNs6E%2cu4HFQb2Ywx4o z^lOFUUMoy#(@8|L`~K3w<*bSV6$zT!F@R!IUS3{Z6p)ag+!rh+jOKWHda9Z;lEUz9 zWMZ12(euud_x5k-<;zNY2(I57;m^SBo*%xIjtE?Byg0^apbl!l+w@6X(5dgMegeRI ztOs2l=%0IR3as#C5-x7wCv)X`gMlw$l#Gh?R1&<%YXRb$o5*2|#MGLVFB2{oxuV}Q zSgF7Iwd}yQKJ{C<@28n1$uZH9_cb@P?#zT=0lYtA0qx+*KtWvA8#E= zd(%~yxl+JN-B-W&o1Ce>V>DpQ4i=MP5D9NQSkbMrswx`z6y4z9puR^5hRL@i1%?mB zjEszU$%B;qd=l%G2KYz}hW??UytGRO{g!>+T}R>5`r(--#1)77^-dYZcYhid2i`OH`KN z`bx#270G&5SGDJKe0=zLD?o^QsR{uI92c!G2=r~Ij=h|4<}OmUD(vLN2{>NSq`U-g zr9gL;|B9v*0(PsEsn?6#Z)*hiB_qcqr~4Q;e*St|nTd%5+t2rw3+QWafc_GWN=bdB zI9-zEGOsC3jpkD7jhTSzQYINJ{8KnAEiEkqq%TB6Tf1Vm+-M-Cuf^r$@_K|}XFtQP z-*}%zr`3@~YEG6vi9|&;+frC{LG+(@(Wh{mAf3Dj0>IP+x4!xUPmH4U@P6N`P?hK? zP7)4ugmPr925TiUPRCuzutG{pWn%7lxZqn+bm|}giXpDfwirs1!V|KTBjrqaxKp%u zicwG5y!gDjcB3s_6^{uHzec-KFn<{w+o-D1DYm4$;Iqm+p%uS__AqTugHPe)1j+VbR2;SawS5ykMS35uSco`wU&=OaB;e_RG1ijq^40UAU# z8P)1yqcZX^MSWrR(j0LVgJq^UxK+Cc+fH@hdEvK+e`DXIC99aX?jEr?47v|b z{eGEQ0WzmFv}h30+#)uONkO5RYK^~eh+*H(2BT4U5m-oEig7OTkUX^9Pcam6^SJ!%W=GK=W>s z5jhNxcv!F0oQev&%y|9wHVF^s%vM0Hj5riG9wj3^J*gfbN+HiovK5Z6XdOB&N|Iy} zPZrGCHzZyty!36hptf2P$aX0C#6A=hz2!I0t+Mp;321wjyu?9RF1WtXmknJjc7X`r zL`=@F8}HsGV}A+mzMIMnd|4cu*qLoKdWiHJ=KS#9?8A&*!@5ml0}BlVD)dZA4Py!< zD9XSXHO1}oXN)rLf~0y%reIAOKzZ5$vQayIjKq5!VnQ{NMTT! zzi5b`L}{2^DQ(z+L`{07&8uLz$eCW>ky&QUXGr2hl@9f)@SvPyvNYcI)c|4jY%xkM zq3cg%7hv!v?h(F{x_a?8(jJ!7IbARHOSVTpwnjq%&RG?G_V;c&;c;GRWpwfRN~i#@ zE1Jnar3Zg?fdN{n9&+sgR)-|0%!F`JN=HgS*o_|y*%v27QmI#wJnO1l(tTwFr>3%= zAf@JK-Y;3L?^?fI&%VoM^J+>32-}VbyrGrWQ^I0PBd919sH>}&5!T>Fw5UC!NY&KW ztLl_~`HBH_-$!?QjRtOU7X_nN;)3g*25NiN2;uf2(8zzD8y9n>yjFtV2n{B@cB7ZLP>|-ma zET1j2H(WPI{3TgOX+f-TInZQHhO+qP{xD{b3$rES}`ZKFEh zd;5<5)W6`2vCm$yX3U8B?477?1spq(ZYsg|-^iEx5Qp&yjE?{J^V=kLRlU!l9jce% z*-Ha@Xa&dNdnfR7*rq7ooR6k~v?P)HJ{&{cT%g44*hT_A?G>t+ay`{|oxz?&_YuR`nF#eAPFtRP*6Pl;%jipsV=6iD9%N(kWn@al) zQZyPZ<|Y^W7d~?PS3UerA0kyG9DlQtQr%SQjtj-(ZKpS#4K2lB{+{Q^D95IK$1X)B zgR&7xYmwY7+;Zxl!a4Zlc zLI^*eQ#`(qYbRjZ4^QiNLY!MtzJ1^R3y`Z6Q(yFUfrgwF8)wLL>px4k_@nAECuuo9 z-X=mQ@{aho>+K-QVbFEe*jpC6=e5(ne|l~3i~@Tmha0j+ZUeDGtyweL<0d7}6~`#g zJNj5(m@(6L<+ASA^Z$*Gar|e0s$7*Vl_0@Wu<;g!R`ihOQK)7JB|&`LuSr+U&7BO_ zpid7d`+Gyh@jO7ZT(8Bhl$y11=~|c}WCSMWNOg-jJ$?d3i^~WIDiYTAT>QpwypeXa z8gTjF4~0Cwg$4v&5_$eTk2o#0w#^MYDLYkKXoHk-&E4R@+m`#4n!XR1jRLz=WCRay zNmGqP!PIQdiwC@xeXH#hWr+V<`iTB-G|2bsfRhRxnGg{2zGmGL5YnNo?mSaq>C%jn zTuF>8dMunU819o_Hkjx_`rX$&CSNozZbw{9Q28{OK=OUsz-E>!HEY^paGSyWCQPI5 zlS&C_cm9~kBdmUX?P@eS$4zYFK2U;6VQvr(SwOn%-|KG&QNEwQeZ=8~mTi`{!2=23 zM}=8xcG)|b_0VT;Uz3RJG5=J5417P0KoALNb5=iA%&vxD2qwF(Vg&{Z{a1UG3IJF2 z8SzhNuc>(|SwVp!xL@!F6T7c$XGF=;q9W;kU{MJaR-8b4k>`00bOZ(<`{&nw@{ zSC@pF_|pKPH$qCC?24_z0SdC?7OrZ~JfKq5k`;EkFsB3;aZPKxBhEN(!%*U1B0DU* z{}p(4$ygX$h|&(O19`XL|296z|807rozVNf)R?a6Xa!SLfm*ZOmgdN3TXm>9a zsNR2Vl?BL4*l#gXw8H1Ae{wWC=8qkO^i(kzmvrm=Z#=(R0sy^F!Cc(t43UnXLpD0^X^|o_rC}a2Lq5HY|}nAV%1Wy z#L8hKRZbaF-QN|J{{wu4g8(6>AbcA4?OUNG*8ERS^MCt25vai5^qQE}LWh1#pMa>q zK*G^(8vDArJ~vDOfs#)S)Nh#g5ywWwJ?!&bKfjT@A)}%m#3e%r;jda9e*D~dH>mM_ z>TfZFWdQC)c2hn&#SHog^HocMZxYV{Vevs?d6LWd2NH7Og2H%B*SY_NY#1%Z?wP^>x%2UUWctB8a)Us4|jV(i_W?cwoc=16*Sj9UDaDop! zNS6{Lj2Uuk{Teg#Yrj=XzcpOn?eicGO9N4)rYbWeNIJpJSy%$9d|PIUj0aHgQ5S3q zu=A&nfglQB?s~z~WTpXJlIk=4>7%DFLt{r|-myY88@9FcUoEJ~!nZCwR1tGV?fuis zLXtFdSyVTY`9MK6TSn)vXpg%$1CvlR?POp)yu(xXx>4BPJmVB+XTF0wqlV4)JVdh> z+jvf=tUTieEZRFM975^T3{J}o|M=5*pf$3C!yB8>l*9Pqs;ywZ`IB z_4a#JQ}kHrlZkc-M%(wY1H^VYE3-*$`+&`X6#WndlUgg#R~Dy?M)lnimrR-o&SFf} zylT2Z`SsaT=$ygRYa%~2wXA)v1Ilm6l}c?gqEuf`%=TF0cdE8__YaZY6dQLe6gtbB7}_JIZ=(ckPK2Ha*<)Hc7vZWq?+B@AL-fL zu;e2So3(miYIgguVUzyh)9kQ+-Y5FuQ7siDcVtn3j13Ltbv2402E+++`0Q}V;%J4< z?MWt7@`i~B_(yo|=vbRt^;Ok4+L6-n3E6T;d;EOe%F2nTit5WN_x(5KGP+DYI`#*_ zn|3V@*yFRefnmdS!8-Q)!W~K9IZU=7PK`{c?GwT~V`1N&QEK_YIM{jV;jwPymDpAZ zvz*|PO*wk>8(dl_^ckG))QpVUG!AY}#wSOP9G(*#U7bFhZY-`udsaiVY18DbS@q7Z zbiWIk`IFaX2cH-~nKAkc}i2DgWN zPIj2B@f~R)Jae8CuY)M%*{Io z1lr_MXpTLB1an)NMIzz2^`SbtENrb!X8}dTM=5~lswxGXw7{s3L<*u#-8yyZ?=w@D zmW+-^VBhwe(fd&th-s-|;d&f?fhK44zZujQ^u&%ldXc@2UEav_Y@#KbjiAF(6eF8{ z2^yUrN*R-=-E9{-BxB>F_2_X-5_Z0>&23l<+iMZO4&Zb0n6Um;F!@I4qD35x1cp?l zV#rti5A&;Xt3DF3ptKvx!CGBb2hw2$sA71mk45tL@-h4$q^bs@H3Be3k@k}dc3gK`G!v5lusg2 zNk4}=wWD#$T)w`fY5O~9b}#C&b?W|Y9vz{lCZh1)iOJbvZu;H`G^sT>JN@oAWT|eu zqmx*1UtL(|ZztYS`r~DutJZP{lFCYINtJ@5H(gyjjT*J$(o$l2cKHMaaqloM#`2m9 zBE|bJ9<}1Gr6-v+2^Q~{E0q+pt)U^aW@2h;Y+PKr9-XFm7~r#u3zAN)_#DjuuY=X~ z`GVs1SUB?8q{!-QADfLfHAyc&%(PZy#|B9Zc0VAsYMmL+BoE1Oxf_1h3zc6&j^Tac z@K9Zp$#qfk)u1H#jG&Sb(2m!w?ZNp;lmY^KogaSLbVgjAz8m5L)I-l*&m#73yBPF) zWQ7H#Z@tGL!Y^h9!<-~@kf(FCwpiSF$QU3e!%5)H=+eXE(rkph+|U-3nI)YHiI}NX zja;-@BBkAwteE=8!A+FBsEiJck|*M20#;JZrlutaQp{t8wRCu-4zljF%*plan+7D8 zDPtK7GAX19GjTuRU>dK}cn5fc*1UGFX|1l{gD_%WLkH)aiyl@w+BHo0UbSC=-!f75g=$<~=oj?#V6OTPViWP7)I{$4sGb!$`aI zJHo}|IX-_eTsBQ^E{@+s2MAs|o}RX|neKQc;)&hAey86rkIINYsG{?O2gqpFNwN9$ zdhx_?f8*N0Q`-VNYJ}5#db9)8zQ95SY(n~SZs|&lTQyWu6SJJAP6S9bItLHF@Xg_> zaQnJQbkbq#h_bx4R!G?zmuXQ+0*W;`m!kbBT3pVIjZaIz8gCCw3SAIuji#w{xO38B z*L2i)e!DHXaRpG)?T*U?DOHw6IX#olH zHv$i;mzM@I>}-ln(e5F!W2UmSOer~zXsukiF>)eSiQsEtZ@1^DQni4#wzLrxv?fA2 z&&IM+8P-Nj6)9q_^sV?Aev!!~6{J!%GW?f6VO~U5($xz3I(#c$DB{;QvZPef74JlV z`As;BMbv~InFxj@C2DJTCIU4wIsejeLSJ6k5d^B*&nOb5*2Z-Q=3*32{tJqJGi(FN-vrlqgF?+ z3-T=ly|a`nw60ZLBYo9a+SF?89o!U3u1N055gZ93A6pX?s3#)O^_fIesjO5RCZ!1P zQq^KZrGJY%gha7i4@EdvYbd>-%7l|$gjFv*pH{SDQ52v5;yLTDjQWo?W(ZUU&n*G+ z27(d>sofV*m%{~1&-YA|>2pjmB_U*M%*sbBX%>?nt+uiHV4DOY@bFVUz_GO{Tn!SM)? z7K{&^w2LISH~5IKQiyrE*l%Ok2$}N!ex6p2Wm*EA|m05l6=-KP%;0A><%A*~5 zCML<9Sr1CM2*n$Rga_OK9UN;;c32WUULjHJ33NapJ-L%Vhv;@DbLsXvO`!3L-l(6&=(x&u=dw;7|0MkmsLY^7VxSyq`+`r{`ry(jRQE z;}7~*Mz`&=IgYW60W;||JG3-at`haUphAK;FcuZe#FXRhu5~au34ok5?_hzfX|A2f z;*OA)8M&m{b_~~@rzP2KFA)Cc&*MnkkZYs-Fgbl~!1gG3RfHTFNm>ZSJyeX4mQCm6FhjgG8FJi-bghswpA<*n=>AXbHt@ zTnxF+MoL+&t&>7ENnm3G%d}z@gR(m^NtPvokC8CNI4Ugb z@$Q2w#Uw+ZQ&-F^RcWweqZ2_sxeJk$~K}q_+3qOL1z77GjT~vyd>RHbCa1lW?D3{HaZ9L0)Z!#*2 zO^RG!&d8|xZNd|sPz%SC!=8PW6X(ACSUUE%^8Wl+dHoA3K0k1P9vIj&WHovX$**k| z@BL@H!=vQ00_*e~2ywR5^m|g=N_3W3*_41Ti?2>Yw~vSvhpC8ABgS%N%+aOza+UCL zR8k_vjpej({925N|DNgvaE(&%=njOrEtB!dU@r89b%zLskD7?92jE4WOGL*hRdQkG zr`&wj$|2)lpbaU0d_En*BMe^8-!o!3Z#hb7RaKSuG0*bBQAf-RXRTqD`G6|kv-!Ne zXnQ=zuv<7c60;Jgg=7SaZGf!W>&vU^lglpO9Cnmxq0(OCl$*6QR?Q1JlU3(dfM(^2??(U3(W!f-MeiI1ZlTY4T) zIy2Z^Ii5MS%%7XW{}O6=S$hW*jTPh7MI<``adG4=Jvk4Mj2X=qERgt@bNrA?`k8p% zr*=^gwIwR;HUx(4xIc@P5oNhcJtjWRc(wI31W_qhM~RFZa+ z(Bto{^5!`zSf#{fcr&8eK3aGB_IY-MldFyA-I_Cm2<|;GVP)29XWBc=LPJ9dnE-o6GAT=L z*vkfw3fLQh@x?{4h{RY?l9sC>iY8AO3r9C6ye=Um<^D9(`!Cl=9lc7^YZYE^O5R17 z@S3buVlKw4;;A)Jr1~}SBwH~RmDNX4@~5DK{V7_QAeoaF*e4lRC8E^3G=P!;xH@Ff zWES;%(t+_lsiZi)vGoiJJLK-R|Bkh=K_8M4?KP_1PqPN5b}A0G?5z;7Ez^Y706z=+ z0p{S+%7zrG!e6hkXMB>5vfnBYbTWKyyw81ma5#l%Q4BnOz55O4=kkw!&-zul!>ZH; zG0c`bG6i{RNHM~v@1bC{&o%1o>GuyHXkj+VulJI~7EG!XRdA->Ns zZYFvVZ)3{}^K(^{yC%&_6CW8BBV_E2-Lx==nVT9+@&)6{?xagX3hYmshOC}R*#hIQ zf748xh!^1R&0}w7#iCdc9G6EYIh3d~?R(yjby*_C1!cONJT)yN);BpofN-?Hye6zf z zBXqt31`^H4pm0!UAvyZRQQ-TZ3li2BKqB(Qg1J0XW^Js@i*!bMUYaA*rIz!Qk3yUd5}bra(H{Oc zrE?)cEa)=E$ovr4M_810ru|>t+rO)B&~)A7_80%zD@XU&h|aM1cmha-pyIYpUt0>L z>JpXwVX43t0Y|KSi#Y)#iSW)#l8ori&dYzV)r6;Wxz0JjK9Y5h{JVM#8NAHi^q#Ao zJD&-k?Ro6C?XiV7!IkBeU~dE^9--w$T=MF2<8$h);40f1r3({!sOl!p9Q0wJ>%r_t z^QSdmSTDNU2N3&f4K|oBL)_ddTXNxXLLTyCglz+UA&B(t2VkhEX#i$^SWF(}FZi55 z04sx~AWv3zCmyC#(tH2`-jzKC(%_MbxBKqC0p);sl5;A!N61}Z)NdpZHt!<2!KT`R zw0>+Q*i2Eel~MEnEf&v!GZC{u7QgJ?JxA4tcVJT2{A^4>KH$-#D@?-C-0Dfb0TJ*r>h%}yKvH{1 zc5On`R61qV@#_{e{*jy4y;%j!@ZI@x7slOe3!sf|N>^{U-9EeCR`S5S%R9_Ra$!-h;Gh#{L zwXz_Tgm2ahABv=Qj6lPp8Hx1>K$4|$m*s5}3c~NV606m|t5O{|-UTVx5_5LUJM*)CEhBZWHa#A`Q zvP|1G-Bb@d3s3@{;1H;uOY@3XGp_Sa#~0!@lGAc(UgaSW zQ+N@F;J6OM#pAEf&WkxIm|}nza=VgwuSb>~CWOW@=otqTGtMx~0Nz1uPqcI^@S6@* zZzEGON;rjWG9inSUq3eh%mq3nJmYL-?(X|xj5ABvyJao`4PNM8;44rMZ$K=fBhA&h zU@oflKs%X^GMeU^|chC(3D(03<6nv2(x_>=~04o|R=?soA#jF`TE5&})umxD~#7f@=X zo=}SpM~J8UtvCr~MY;PturD%?d5!Bf3SJ4|W!#5KX-)7C^4o_Z^kjVKhf7I(jbl3n!cc(d#j%1dE?YH6wVTBGg)y zQi^JNyTHQ&Wm|dC%+-o`5p29Os-6Td20ZrD68ui2<}F=vgJAE5l+;-0T0SB>&<#zO<|(dgR!XB-f64g3ZxpU^V>K-pU_*A z>Myf#zGHe5r=AsPJkRf$+B89!W^86*B4$Yh=!Q`--f%%_99PRysI5n*8!T={I!%WS zzy4BDLn6ONqXVcAdSB70iobndZJ=ezjBNOv-ro~2oFoVdqx$ooi!j z%@!siw7~Pv6|dUI-C3P~QFicWv+t)HDx#L{VR}LTPbQCZDi|UVomh|E@J2ISKIlGR z-h^vh4okvA`u4}sBmG)RnH<+UN)Ed@+unK+y1u_QxN}ta4#;I@7CljQ^cPn9?67{V z0wI(j8R+X<9sc~wx3{YByEtfbHs|nrwtc|h-Ze=VkLv_W_xHF`^8g4QlSw*VHov~N z+x5boq;gX< zR;*k}jezfVuGAk^a{voO@-UA6!8J?5=AzkQe*acupGWRNsm2^Z)z=q#T)-|Mo^E|* z=CwvT{Xvb$-QG(lR8*9z#KMOXz-z3;)cvJw*a@6spbNONDK6p3G@rud%_tmsLSA0c z-_3jlNlVVC+B{`)3%tW{2>I{x7U(1K27d>QN2!&Q;vsY4=^#f_R z3CS*5(|9{*kDXj;GhS^9P)fBr?WfubuQ7QPWzIZX) zA5P0+wz{oK6#(sD14sLDP1w2X6VKXBe%D+%jOM9c!A=Z#W3oyMj&I?*on=a4qJ_1{ za^b7W4Um*eawAlHY;yQ@8bv<@4;~o6vxX zY`)9lBo@Ch2;aY6sP7W~#TNGhgqWJSEB_T7jo3a%kRiY2_=G&)N&Io!ct`>l6QdD5 zvEIhD6~*EYc=cd4&=3H80fr#(Ufimlz^?@OjYvd@A2#-aNTEOhjE#0uN}#MPjs(wqs|~#wdv|Rz=V|%p>1%Je#>c5tTt~9 ztN=pFWO4nM!lt1NrIz+$3RUK=PL#o7Lb(xp_BC=nH+}uYa`SHJ086sr40K#V?AeU4 z3u1L&zQ!q8Nma3#NYn6ktEQ*1D}mQxuEWo(R{MqSDa!I_Ga12pSV){IsIIbFdRwJJ zvCNTk+cAyeeM8yh!`_eOxYepOguPM-`N=q$;Eyv&@64ue5XY&@lo+D8bq4$~*eQio zwY@UrGnug7AbrnJY7lxS#q+_a*vNHXf01JFvgOvrvbzYgY05-Ma1J8%0scCNID7^P zjh9H~)oR|N{Lt(6%J%e^k{lKYir{cEx=1!M%d{wV+_!TRd>w7m2HG?TI+#(uyvcJqUiX&{aAM@oF zcwN1x-30`z%HuKd5y_}$J7n%?H%ij?c8Xl4)+wlo;zrLyOr`TJBUQ8?op7_ba2(^h zqGs}Yccc)-N*k75?awOsA{DSpkiweAh;d0paGV^b^}(7Y-5TeM5d z3(N;C-AwZWjXHtE5)%kTGl~Fhq49gS7S2iWoaUN0^HyPyPe1VfYj-toM-^WV?kF$K zWg1PaskI|IoiFt2o0}A3pfUMHhtfpT@=P5`;$VIGZ>eBi4gelAU3Z@D+dU4}ye(7L{ z2Su-k^}E>1r`4loTGPLwrE!rA{RV)wjp5$S`Loev)a-?@#&QN9N-`0Yj za#m|yR}2kaf;V+YH$g|2%`AC}V6_!a;qEQp0@sSfODOL4)1*i;y}@dHVY2!tuzVjxMaM(OEFD8}6oLwk4whhdRi4nFKY}|r)hfODce+Im-ZW|LMiT~|)oodiyCftft6nR@@c zV(9Xm3x!@ls2R$1hFxMY*vy(<@FtY;Y^Lx8maRy`U?kOzqzYezUozN?%Gqjm^PNYd z3-59eNcGeJ-iNL`TZB_16xjndZSYzkV~AI5t5?hCgH<#&r4)Jk{;*%86e=j#N6T)? z)NWGYaeMxLM5s}lmc%O2ky2TX06Y`i&J!Uag7j&F5S>#x4L|R!Xc@&9i<&bTh!_Qt zRcG2G(pT>ri`%Oh4kdM56k`=09?M!4_Noznwl}{p0fAzp5$BbHK-+G8fwGj-8q9$N zZ?CSdTSIc+P)l^OE-lR#r0Fvm;iQVN!7#IrqxoTn{w0|Uif816QLXm>v=cWmGNpOONIg6q z`0Dv{Wpc|QO5>-#XLF1Bztdj#kGfsx+tQ=bJRbpTOfopF|F!XN@hk^ z4LIDdJw1~U07AY#>$vxac>Ze3b?JG%dm@k@Q=66)iy1$(T#&ePl|5*lqvjVetlWk~ zc5WZf&y5V)xWv}Ybaw4ZjuIiBjd^}`Y`!Yz6|XzHqI!|p$UCLbe?uAdBeS?Tu}Clm zoO8$gww)eTC;01C&Euq3XSOd{5_L?yT#B8>Q9nXO&JMEB$Cz2Pay+815&MsLzF95q z3)bEeBlno;i(v_@vJ`BGK;KPgfHwgB&-ESFly50Eth9NTC4(`P_&)t)J z6x=U@&S@Hmd$3&6x9U|z7%#Ss9)(aMzP&Y)LSZrrZ=V@>xg@j?#Q!C7h_th#FGFYF>O$9SXhkLlbH}ELe+JlDrPg=4^8;gsx<6u4w^!n)2eA2Gq z&aC!C@7x_?Cad$42#f&mGmC?eF$%h4uFmUn5=&Az6dwfLl60{j{PGX+VCmnxA<`8w z25lb9`f}f;z=$dpJo4^XRf0eCs-m<2Qez0?dcwQxfaHgp0⩔gLtBa#Tr2r&5Cftg-XiE+~ zGeWFkUzMJ%D`FE_jGlYTre4;^aM#qfOH<>A1jkL60;a^yRtB(!t^cE?O#ahS8&Bl7 zeMjt&wKKUZfDA{ia@kYAHisUzMOgQ`hY*)kISqqj6(2yY(tqT040>WAKtFH(v|beb~S3> z&1F~2saxs^IQ`xJJ<{U=LMN?TSwwX&_h~kGA~aj)ZTVq>B>TB8nHe)+4mK#J>AS>& z8Q)F$dAEA`R^$oqOmi)`dwpnBLRIn->7N;T0fdA3@Tx72&UmMrtKqM~KXBm@L(^he z$gn-=``kUJct0(%mRY<17WUI2@D z!`3&Ew3QFuUiaM23I!p*Tou);!sG08vow#z<67+Zd`^aThp_$hl$9Bu4ZzJ6{pFeQ z)8bXfhC?%0ScDQ{-SR+qiLft;yS>|zb~eL2Qk8F5Bn5|_pTeaq4TnY`djcKrIgVd9 zg$3iiCyFiL`?pHL8Q|Vlo898B9`T~AdH|aUYQt=1%_#_Fu+e{HW1tqyX9ulU6n$lU zGE00p&IKzW)h}A<5mAF7-vXJI$Yudcq;|~ubi-*WM_~4kiOnDy+B7NWP zKsF}C-?BvTC&<`E>8!^ktAI)HKf-tI=Ud8WW(%eKel2)$$OqU!mtY}2Fa*GrxOXEC z?31|rz<`bZJdWNjV&-IL%iwgYm8{lJPxyJoJ<&SA&;l^s*FgtKh&U~9 zpl8$@1L9{xp)*FduH9Hw6DqRM*=!i$z3Gq=kmKqyzE(mjP?W z&|cmk(A=wwyGbI-y>2z@y7^`k03eVnseAcYr-(S;5KwJQ1%r@XR6@fJGTVn{YP_Sw$GSNRhH7INp1%_^XfX2p7 zQpLpMuL~^QoO^1&~;A&QBqHb;OCE`#LQ6hzQgTDC^d98Qe z5A7I}WZLQhVQ1!eW)~DEh_j9D75`J29R90JOyiz=Ix-&6X};cv_X3}D+R*;4DNqJz zd@p0fJT|2*h@}{QK>jWRAp2)e7p@A46#&u~wjps25*fKFB{_Vpm*?ZH3Pyp<^Wc1f;}n4 zVj)HU#^hrnhQNsmTN$?G^KO)S*JX}wYW$^4V|)m0b{dfF|J?6vL~y%kA=gu2vzP;y zXf)#nxQ=j&K@RNW{+pc+7>uc(TJ^Wk-P#@n39mrfEPDPXQpT2meofqhftXQ7G{vJb znfy(VfDtr9fG4Z3!;9CaUlXeM>?j98bwlvOU+sKgG{!gX7!rUaP|-SAY7hQm1iNl=g7uQ%E#xo(yu7wc&e^~ZOfW!FD)MBD zRs^~&NFgUW1Cuv(mv}wgj=@mcMV>aynkljy#sz9rf@~N)Afdrw)X)lZ{L&F!9I=q^ zxL8qQne_}_`c-%+@EFQ0<8N~C#ujo?%po}V-BnMz;_=up;c_}Bk!~2ft@2hqqmmxv zX(;(*b4(tC81h2JKOfVYhfV36(xKF>=X!{M{}epqulorR{wIh=jb!fnvz6Umtt`x* zQ(kr%wc~ZiJHOHW020i4U$t_rwA?XbY%sN06} z9?dZEz&T~1AzkGUjie*a!eE(Ds%s=B1YsxHT5|5SvoNS+X4 ztsSAJ0@a7Oz0WqrSr_uTpN&C083i{x+4p=wvX|b=n8L*_PE2?WixHpbY84n#GI+Gh z(*=x=jD0Y<0gG&B*Dce#C2g|O?|wDgfuNCzeo!Sivk^YBw+QAV7UTY8A)Hj~GYohm zApY}^CoRr$*vxut)f?GsYBQ(=yYNdG2E#+cyCJZeiT2w01JHPkrm0xJhs@%*W-v-jBhFjg zPyjbOq>hN#lw&$SJvq7f8=Yn~-ay&*>xcP1sMD`kSaTlbem-uDeQLH?c8e!&0$o)T#}8l&sBdqljzLXU zo&&0AtxRAb2i*lQVm~!30ge4_|E#R?hCGZc!JfJZ&XZBq&b~KTu{cfA3-5ijDa$PE zzze%JQYOoU30;<0)t%&GP*SitJgoVNc8JAAsW5MEo1(}oF8-cdk)w06E{p5?DOPs+ z`{W3XNBK%xS1IvKJhxv1jCf3YpW)`X%=k2^V4pp&iBK$~9|q>e8Vt5C;m`0HCRbi$ zxo$%SQ?}Tz(w@#b31x!; z$gH9(hn~+@XCSvZVV404&96gLXwUl@9umCQt(Q6)Gc#ayu_n+V^KJFQL`SkrWHLay zjGiaGRX6m~o>@7__8;X-H$}i9&UEoh8*6PB)qtYujgGtb)y9Pf9VgR58Pr?ibveSyl}-&g^=C)> zhRnVeZEh5kZc=WL=Nt%{!xL+`I!)V^VEtL{#$80@hz+nfwZuE%&$S1?HoNJtz^bvk zcz)TuH3$W#;^oaT`PWyGUdDm6UXC#2GkF%SyP_wXOP+mFj>0*})99dnGH2-WJYrm3 z;mF615$Pi-8POO}OQyo|y~KPTcv_`~rslncquy_1^`g_!ddm+KG9)Dxb@qc-EO8^P zFM;1ttO|k40vKBpf)QwI*62Pu#xl^}WJsl0|a*0Z5; zR6`w#$kx%OPWl`g86E3(V@VF{Y%BXzR8R})`Uw~U*ktf`&dM8B1mgu&gg1XoSgWZ| zs3Mi0rEW+HnSo89#>cSl*0PbAQLue@H!-Bq0^}RYd9yX}!lxf$E>Uy*`yMaJK z@2FqEsIWN<9I?4tC0K`3bPktjmiuuP-~i%J2HuAa_3bE60Lf85D}O6t)QV!@1=ZN9 zW?5c-D;v5(p5?;M$>lsKh*5MaB=#CJ0^)HiQgR7Z<-qg339RaP zhB7G=HGMLGuG27?-E+YK!>4(y!%rc|185Cy&wQKlkC*p128 zUtZ-RG{z`q48CI~?MnSkPyD7GenG))VO8NCj;Us1XYH5wtA>Jm7*MAv&yT)%xOA3Y z=bv-+Jv3sI4&B~LElxOI7L_V5YPEcu8->wj@u9+3lm;3#+H(q|EUDCgg%NyLqmV_V zrG!+M)EqPKx48Lbfh;S+0Flb@*2U?EGh)=+{Vtz!ynOkECBqf7Pj>Ct(~7+RD>E!+ zDi{EP=GZ$+K^x1{hQ8IlwEqGtJiQ8Ueo*siyt>AR=3DnKOTO&Hth6G~dR9E#$ipn# zErq?R3Dy+tq%J0OSa*C&>nX!WH>@-+E5F&>DFq2pf+NK*jABkhrMPNR+nGrjH7&7P zRQvHQW;`c@eZ=$8=AIwJVzyjmaX>yh2r$9(-?j+o00UD87NXeMu(CKin}@|8y>(5Q zv&1b10=@~+BqJiQZ9G6Pw{Jc!bqvNZ9H!5^LXsgK;Zcr#5pReS<|fRj-p-#V7D zp2-a3WF~}?UY7=^uxbf2ol0j6DU~rC+|)E-UR=nL+n5en394Xx98H2K-fIW6PoRjn z7-#fYD4N;m*8mSlO+^DoSX@|8Q3**#B`gUJn{UYGl-hhrBdWK7&&rA7^%!+H`pMf! z2}7=|WvYvxf$TTz52sUCAc$2XH!#~qkDjrAZA{lm4V?%An0q)tx}ml;GL#TQ+IS(@ zHN{=-9v6I{-&=*g9qf{TUe3^P78Pwr27)KF8RvopFqUavWMQKT_sLj(@Jv*XCdf9& zCAU#D_Z*#UxT|~?E>_Y#C1XnaDq0G`*$5FVa`Bj?vK_Bc5%od31MwA!n-iNW*)_1O=T@He-xH*BEggW z(qAg0tXO?dIB4~IfQe}nFy{*}lf+<&{yJ|IjIv<{?E8f5KHH@mLak z!d{eg33x<#2*mPa94bD~4^-&mHDsXQE&Kig=+Q`(GBd>pbBegSy^vWlVo{op0e z_5_g8hO&lO9{?jI&%?tniB!w9WZssScv&S@&n+c-hz~r{*I!FGMey%plX*c2^rlsY=TzJ8bM z@9`bNs7!5m1~B!7(clyhI*P>zaJ{WJpF4?&pmuTM!H=du{%SdV-XHcxQo0E3Gs(Bg zvE_No^8Bk-yJ$)u*;~ZaIE`XkcaRnLUCbkt~h^B zAv`swyvu_c<(;;wwXyb!j}L*FK-LxU0%ZJ-4AS&qzjY7tPl#f@?G6c^8iQCuLh(j| z67(OLL-BPXOHIlGMxg=^uRub;tHrfy-4N2OGg}E;e6J!IgxVNy7>b#YnD*uxX;N^w z1(!Nu>E0P75B)41;YE))+M6XHp?|L;ZZ=XE5#aznQtp4uN@6lfWI7sW`Tr4>=@{5Z zC1ZVAi2XkRq(EE0+)%4Q!!qe!AB@mLHwGob1TT6fc@vAw@pcmLuq8WZWQdE+3L zBu!9VV~q+aM!)m%-|0xBhhBO4brt00= zBm7xN2(cL}ECBXkTwI((zOZ8A%*;%@j#T-FhayGgg1^QC&%zmm%$9c;w00KFFi037 z5z*?dgUQC?WZe)P@&@~}e57rK=ukRMPsvl5rD??b0n^g?v-_X#JpvvM2w5wo4m_`F zI>4L!moK>|f9|1yTX$oe4|Pf5Xe0sEZ9f=p)H3IgaR#x6a^uw3B$+? zAq#^x)z+z=NPyWy!LQWg(RhvSAL|DD|J7e~GC9D(qh@NAGVgk2Z_mP@u=uRWDkvufiQn7u{k zM^xio6Q-pcXS#o%z9?!?MbZ=}wCf1Ob9EN)aReDC=lORD$bVX7zW4lgf51Hg10!%8 z0r49Y{N~%y0e7(&_3=O&5yHH=dKTAiltBy-EhJ|^SqoVv9OkLL?!^OaN3FtCL#)=Y zmu}lW^hhiyDN+ms4C}ORo{IBc_BXI!TdvHSJZd@G(K(TC|9JF-+~2>`2!v9TS40Rj zF)4wX^frLXAC_ng3tcZpO)Q|*=G@N??oSuqlt0Ev8{xsSvJxjZXk-sB!r=Xc+uA9s zu}%d>4r#|5Dks!E6fdNVkZEIeEg?mJKrfj5qTRKAwP5rFvp-Ugc#i%k+VlY`G?=Aq z!NP1_0Er2AC*gU9dUvW5rm7bJ*#dY*g|d@Qyb9{+dhxEhA)1)i6HL#(*Ef~Z@+gra z`g&FSN9)P=)~KzwU58q{l{j^#8aE%-_%SIe%d1fro$7n=s5}Wtx+o#BJX>d1iQ|0X z!KlmbQeiYIIRL}O6HsniaG8@=y!+*kwX3p06Y1_TeMpSvpE^w&e!oB$|KWA*L@VIW zSIpMM(Evxy1>XvrswbZS(C7WLOb>>=Vnc$|ma2^U+4)y4hp zu7R4jF79c)a}NX9hZ!^deW8F0nxOznGGr+Wqjte4Y^oy~5Jo7CTk8Q~#!5TdTUn%G zYi{4X8KbC{ymB|k>5>nB-?(mrrcWG-fP=RpP=j#+l!tQj1{Poh(Of1HK&aEak`Crc zFzvy-@NzGlI9TtlJD`ZVQtAU^QKl(VZ&S76y{pxi2MBW=^?P>E&(B*v)#WrE#vlj? z;hKGvK$%H>O@$u&({mVYFg5`WD^n=0wQ-R)Zd+-HFK_=2*ZYGNX52fXd;U4ovsJ6RV zu>f`ehVGQPbO@pU;=1Ku5e}!`FozBr85myuZ5Tfe9mm;+&56S5W@Q)TBK4Q{ooBN9 zjqVZnuSVcF0-~WHp%Ftw^Uj=&rmM9b4i(?Ro8}Q(>4eo28ju-d)WFZYJ_AWB(6%~7 z`#N&z^UUEYJ)EucQ(gMeU3ciiw_nu^`VIOLy3NaL()kz6apu>O#b2QE z65-6%Y}m{v({Uv+IYq12Z&w=m$k@T?uO_78A;F+6FGcj)Pk;Joix%fp>5+4XAvkb~ z=Ku9`$R`LJ)HYlE7bBsbTFP?OCD46-?=EFTdnyUhiFa1-)Q-YN`V~@|(%Xo%nTLMA zc&F0+ykMuks1F~%Q?LK_4yQhE#$zwjbh%$)yl3?TS8L*rf3N=FOg;X|R$WV&by`NG zFm7R?|8{LDwobl;^HDkd@- z@p1$T0P5%7{6KeK{v*A)a+9XUVE`qKB?JN1OK_k{LIt7_>enwddKT8-Mq* zp7`li3L&(L%oJ8BPa!Fc9(#U!;S{54W72d^`zO{14|oFIt+gsG+J&?%ksr!;h|bH8 zTGck@OgA8EqU%I$nRn=?n(9zWs!qB0S?zi4VLId>Uhb?lZmaiuKHToNe`^GeBOn?O z8slsrSKu5IT+HF2G*+9{4jL=;FpSX2lP5bKUU_-BQ-f%^MJv`n3X1mHJV5gV4J7UF z2B-$0mV+`tHE^}}+wZ4L9Ipk>ec{wO*>Nqi;z&l>DY>^FFam@*Ss057ij|x}0XCr5 zMV`-!^yBaldl+}SCza(TPH(diNpyWinook<`H1UB^2xKr`>(WWUV73B>?v zBP<@o?^+14`eE$&5c>3n%~%VN+P$r7L79a)P*G7ziB7Z=VX#t$^t5Q@Z!cDKAbCf^ za2>svA5~KzJvP3{eLCI+N>GFg7o`aFIH)Gk3KHx)t^4wrJtQN zSj%Z(oBr{qN(!UHJyujFsNc*VqwF#k@@n2!l&G-qYIr_v^!=;THK&i#?_T{x<4-zGyO{s0 za*-9JT*cZ5dJ+aKZX#6xklc4_hVHyzHe(`P`_w!7D5q8_aiK`P?b4*Nqx3W_rHo}8 zjP7dopBA9R)2Zy29@f~qUr`yw>~(~RhbAT<6plS&X$ic*K0I_7F8snnr%WE9r~mqn z5(lM_E9_HbLWmOSq_GvUkM9+fOX8Jz!MHJY@8BVWbot|d(}>ha&5j6AG%jTiL^op! zx6_ZQpVu}gJOIWp>L%L}P4ESzjMeL~Si!>($a2?DOi~j$;pK%LhGD+P zA6r)uE3|TN?h*JQBXAteDVvlmfR5ERaBB9O{Gw8XjoWnAS#zCypM^xt%QIkWhpb@b z7zTd!vwalND zdIw-?-k~2rXE|dFeI*g@_9mRx0=Py-gya47sHm`*{r0K0t`;vd1i+=e5&JbY9D5xC znWllTY6T@9;Q(m`UgDY^J5}B0t!gCPYWO*sn!7_;2efU+R;^n3HDN%DF!<=A`O`FK ze4_RpsntDCKCN>`XXq@*ApsS6`g-dgS~bNhATbU`Gh`FXGZG54ymew?iUSCdcy4yS z)@>sMfHX-uTX?OG(i`v0k9oLt*=t(y$t!yO>0juY1q<}#eb?&Ni%!w#2_vY#_0vnY zU#bOjCn|GLnhqlc_vf`cw5pQ2TEefkaX5}mP89FSChK3;=4kYSXOwx_1>O8ez1}bCt5@e;hc#h=toJUflzuZwX8j; z2U!mDNWye2>ljAwMF>;9!J2o~0>#a_PKPUL295D!IcG2Ckj*>uD(x69Gf>*QRT37U zS$93_FqqBewJ@xEbC19e8G+*nh~IdKmNWR)W;Fe$-d(B5lO}5%p%?S`Or+bwpq5v( zFsOl|g+9$AJPLyLcMFZ$drYRya);&-+WYKh3#Hn7=gm8dg8X7S0>F&>x95V(G>-Oh zyxMPF;{VE*U3eIEFhQ&6Hqu7;EiH49;~5qf6gU+9$};*Ca(}vrbM?ah4F}{(N=qC@ zriD{&jcP(vA%^g$sR|i$)bfPYfLnInVT__C#q&1wj-`p6~jtZLDdUu@R%YxXH%P`Ylu>1Ji5rfFA5CjA9Nb?0rjt2=6tn($tK zd&6ne84gljwLiHwx*}*#TWJXAD`34ZQJ$9*I((=6!C&y?aeEMxRb0qXAXg^)2IB(PM!DkM=GR#vW;`R>GY}chA@*uSB=F7 z%GHm@K73HTR^wgn-=B*CkgU|WU=(Uxy6W81K{vp*s94K(AJ7xGUEF-|=^ya7$Z$Qj8rGu}#0xlbd# z$t_Y&)8q=&vK60d@yh+=l7k3e=OD@ez!`J5xke>%8S*>-P9@#+D=k=Fp}XH$g`s3h zK9+NIoLZh?02VL3*N6$^YOzodV(>>X$Xla+`nd9qnCHOt|H{YEeNo4G1de0K)Xp3a z(Pr|uCaBd8Zld97PIpZka$8Nyh1r0HN-P`vc0bAtS$yq^PjS z0n*IO6c*oZ6_uB1=-{DF&ai^expm91qJ2-)+1hcPI$$!I8jcw~2Cydbj#G(_DM?}$ z-5`1ndQ_L`z@EdJfA%H%+sE7G51Vz9RTNx1RZ1_EGl1LLX2ErYim7u)Ha19%T`N!qNK!hC*Nu3 z5`=g}EmCW>MUBd$vDL;lJgYog!SQ}P8XV@QT$G1&wd%>+E>wEPcttgBQ69bhCJq~` zqS|UbysJlTSPhSUxL!|OKUdi=-=X{ewpbr*%5vJDojEl|kNxy&Lb>g_|MmCu{;q1R zx<6DmTzZDCz3@!k@Q3HLH!EAw;e4jK%BF6UBpHynKVI zFjzvF7Y7gy?;s)rRnC#$^TSK^WXz_HL3g;eTYvm?hYAlAQzGJnHn9{P7o^dV;aZW6 zXK9`$9xL2iyi*sYAT1aRYbfs+FrrPI!J-ppO#y>=i37hkhA&RMdiclGcX~0JJSmB( zas_M7{jX`)^LL@~%DixI?h*K&BXFDn5jiHy8~u}K)&%45>G#&^xx44<-1E-W`|o}L zJ-;09z>B=iWXIDmub>I7%%h&4d4u*l14#1_>}Mm1>T2sgfPZv5aCkG9U3#VqN0k0*PAdY z3{-N99!7#-=cdA9`9~L_KwH>?sRJ|(Q_C|N+q8!L)KpPsLr8Y_p1ls}mg7aGr)4OD z+@sZ+8u*4m#If!g7E(2RR8wl{FnT15rqk95kgCi~*DcSytC{D{RR{~>+#9aZc9@Up z!^-r@epsX3QJUV=sW@^|A*q2V*Ys-LmQ84ePLy9oAZk2xMd5fIcvgPo<@%UojZJuw zlpYuh)(+OkwOc5--byT}uM8%X+D%>UxUqC{hv~UH+dLi<0N~pBJcFfnLWVwo>AaE2 zdT-HkMWzhWq}W6?hBxW`>^#67uahqG7FPVr=i3=;e=V3dP0w6=uAaL3Ov1+2-pb{O zQanjJs8_d@aO^&v{`C8L;l^_`cU-2vc=&F|w8>&rY4BMIrdXvx_`2t-OpmESRnR%&A`U zl=;-}-dL3whTsR6{JNlt-i(bB3>BS*4; z(iby44Df@vLqU89^@0Yv4fU`tS-{OhvVHWB%L~PWF_}1P?Uj@;SiN|NeW^qA+O`9f zEBNb;)mcnIn2FsKJFvo*cm`{~U#d==o~~Ek{ep#;lSM(Ld25|!BvXa~2)ZDJnAmtD z9_8Bw>yWr^r1Ju zly`U(A!f)gbfz$^Sd(2daCHGhT&g@3%hJ1)fxHtC*{E!hij(}*B5}7O> zly4#(|8Rb04Zil-X8OlP=$?D;WkHG7yYIc@2u1JNv&X4ZvwA|SO|(S1d4$G7H8!a= z1vWux3z?c0>Mwus3kt4%wBYIY9Nk6Zp*cjFZ;nU?f-}K#|A#Yh|M1;Mz!Ne|BS00R zl42UPQiMNb&|nOiCg&bhSJyb@GW~?x8hM}P1FgrQ33&T=QWTEzkjbzmBh6;z45h^- zj;KNnB^DMA5Aq0hJg%-TM8g4`4)*T@DWjL4+fgm?#G^6I%UbTcm2DUxMFUnAmYX{v zRe_<=cnV&K#*Zc38K|uX0hA3TIy1@S-2xz(_(Cqp(e{c~J-=uJ-bN>_kzyzd;T)+| zOb_f=b!CA<0uY%euNe?vL=p<>Y1tHt2Wa76Qr(1leaU%NtCbL7|~yLOFkd~2h2l*j4HIj8HDwQp(5bGK{w z*>|eD0i9KHlLzy&A?h)!ib0GXy!uE<8sUxjG+!w4)BudqS#S0nzFxEJO6bQ|F+U zYI$2D#k{d(9psmx1JlEaO%f9282QFja>CboEqTz|L+MQpboP$SHPX6?TRfq5bk#g)U*9_`ICl3Qyxi^ul4+#k|`f^{E zp4n=7Uc$WHc%SagJpw;q1dbyh4tR(IFl4X)<7Pe9?)lS)^n^Q8S6z9z&N%&4-F5f9 z&H`k>XJr-E{MR<~QValXGlGrfl5V->7M*p@dCDnm(9Mr6RBa=)Z{=U7LrD^d} z$65XMAKb6M+X&#{SpLo!y;knf&f-@M%h#f57RFA9BYy}envoJ79!XgS4S~5wrrc8B zZ@E5*5Byx)fszWOC?iR914On^wz4k^wZ;K(26h&<3_%yQAU_}P5V8vSxduE?3zK@{ zx!FugNlIoR4AZmEzO2u(8c|YdM>xPoH_l@bFGV9aqF*Zzo=#}qse7)wP97w$maMMT zomXG14i;hyg}T_6k9jui&x4r${;xmfyvS=Yb*vRtFrK0rZFQ!k#QB?dXhuN<)c#-? zuswivE5L2%+DeYoyx1N>_+Fj$nm&EHw(eP}F_eY4kSg>dC+6#lQ3XKWilp7)+d^&P(O|eob01u& zhpwEbAHTRnlhZIJue)5c)8cf~Uq93%*PpFhp87j7a|sHL57H$kP1LEk{8mMH-xK;E zJMjSI_XJV1M{{OWx4Rh&A41i`0y|WmwG*?^1llRd02uB5^po1C?4&d%Iz$(pJzYc2 zpQE=vUa8yud>5WQ**o6*;>WAeNi9)YY^ZXJ3uq6PqML?==>05Y-J&oC5GZ#B89|Ot z0PRptfl@}*(`&IoKEc#vhV*Ou{wy8Zy+>!Af1!$&uU0*J#Z81jE6bY{Jvd#ZhhQ~x zWBLinj%d!;O*dbSryi#W!uVEZ(N4L?6*1vDQ1GsnRl-arg5loWBk)5;;5g2l0e{RG zU-lmn5XZ{KSal|`^OW!wYbo^9yDPLB39N^&o1>2wEz+L-`?VdCjR}AoVa7Z{TX;u} z8l|+fG^bW^{J1fcZiVR2Z-1qQtM;pl2gn`-^Co%0*TC^@PzL-(`{neqYB|eOUwHz#gUHb@Y0+%NG|a2vWsx8t?x8imNnr=Gi(Sey*PSkPa11G!#bO ztmyN#^6hAa*@!+T9U%N%K}1XgG(0{)gHg9>g@luslu19ra?Y`jauLc&n6P0mG%b`H zMHt^&lX>H<+WA|HE7Kaa`E6nQMi{cm-blUGmTLML7whf4hgGn2iS`}Xtf-VBnlp2{ zLNdqd2zk9lAHT2olz9E_^-lqjNL7{Bskvmo9{SlOD#|TF4|O7qguPUfdq_9Tny45A zz;FA@Yx>Ji&X#XC*FdR7BK3ebJ@u~M{_$n{Y;Uf9gJ=HW{8P1&lB3jMU;XCKuWLS1 zXwSa%iF`QkZa=H-ZBq$eB4|b|l$nL()B3IZ^cCGPV(8ql zwV_j!=m4^P?H28;rPlY$&3Xl1j%ay;&jg&Un-dJc3p3a z+Awkh=ze1TG(Fqu^uSMU)YuWj@eWNlmyj;cg0X(h3%?XEci9_9m~;Pwp7np}gX%um z|Lq9;u$B2cAN8oG#)F6C*Qk^*9`YVkC_HtT`lvQE6%D-XUE2@j>4YCYuj|j6sLM_r zqq%r~7BUScPh`u#!CMz>wmOJ5HINWi9jw-Ek3239ihzBLjs{Th)bU39Ztu1)dp+O< z@WT0xegE&a!oot`fB*ge-P?ZH-|ajh0^v?c*IdIzSuE_kZN{{cslhs!kcR?rjPPQm9F5%X^o&d=#~4O#E`WWOloV^~ z$uo3#agjn|$ipQJM>5QpTFEHw+P+4Kqekl8Bh`9$O*RXsd6k|Dr9-@T2jNz@|~T{gmV$yZpB+NIxDjW$H|dCGsbN%xxZk- zjz$;?AWVChNQO5>?Jd+5`p`k*IgGR#K)}DpM$iz#scP{)nX)}8w(naI#(vqq~J z!Gg0V4^?8Mk4Dl(rjUGF4mF&8gm(MLQ;eamayQ{!54`JaI@$MoR^YXz0`|zYInN3b zd1M!V{pniOz5AF>dGJL=5>7ssylbFOpiUbaucv=?i=JAtLCu7S!v>AemL-eihgkP- z@4Z%06z;EHzg7uD2kVlvPtv;Fk4Pb7+>{qmV$+Ec(M=ecFmzR|eDUB)t2*^^J^4u9 z}l9w zD|Te-$IpD~6kN0Z5sIS0Y*U*)UcFaK=m8giyhbpVc_^a6zUC3ZoNECZ&?WU= za0X`AF2{2IuE(%Es%=S0N#FG&$L4+9uV9MNjVu#}oJuI3-H{{tkYGmRAwh(I?b%9) z`^czREPmP*?b}Z{D^eaX30qn8tx>RryDjWm1%os=IDk4t)25Aa2vsA74p-KJEG4C- zsI7^dTbNJCr~4Q&0$`>kHJMkh@e zt+=o~dH~jET6BO?=*D2Z8m&iWTudzV{3$wISfzD4^VH0}NDl2$HZ_jp!c&|&OaCA% z>##7ci*xHFPiRa?%dK(W?0-Pr>fp>%w|i);*A{9TlPE?Z0EKsy7T&p&$ z(ZkoDuQh~4x9;34S7oo70Cm(1^xlR%9oe>AC(oG)SSJ90sIHVA(XXF=g=0c)q1!|2 z$Cf5QzY`M7kr(|{c{pFg0KP!x@*YCD2l87L-%za02TQ15Y}b{~Ed_|$=|JJHp!zCB zhx^gI7!@3hl+lU7YM@Wzq3SvXBp^@@3$%mqcV9ncG7y4ZdT+VY*YZFf>4(uH_1Ftb zoa^m)?L!DuMUZH|kO!ezZ}wF~8BG}8 zawtOQrIc`Vk&C_VgfXhaAgQRTLzo<|o^Y)hvBs9QQVz3L&93dDFQk!(jQoR2Ekh1_ z6)^1K+3xiZ)8en!>W0fN<$1Q?4=NYSWG^1wwvu^XHDuWB>o+UXCLm4op^4 zCCN;R(XfnYW-yBgQ`~x^oisj^W~LFUCL|I}Zff523HoT&F6ixaOQ7hwtA$P&%~j5W zVmHS2W^0hM5IL`HUjv)ji4(^U)41WO3V^)O2s^RBq_rqCzHiH;Nt?Pw_yepCJ3V?`W zb&aM3Q(9T>G!ov*SdX7DPBj+Ba=;6IAI9OL6(=~C>3CQY+tUr z@nQ~hoYO{T@;VEmioYWo4@ZVDoGGJtIRqgqwJ`a)PR^?ZfDb0GY26>{$a7oSMOzOG zJm=_#j_GrYz65AodgP+1di2-V$b+7qXHJ@~^!u*T_O0vm+NxZ|jbu(T7FY@zGUJD9ktn(^q`@XQi;Uo81iSUu_p@K6kTrQ5dcaO}Efn6@G4mHPV; z+U^L}hPFhFn0d0k$}7WbP6pt8^&#a@ez1U}AZGc7C!!$KM|~zsLT5E?l>ukQ-r1Rv z@W{DZRHzq2*d*Mn9@IK;c#tQ4O}!)%Z<7> z_Xzxu5jc*3H~@bAm}@4vWC}I5Ilr~}jnx;yB3ISW<}5Csuii~gs^cH7!2zjnaPOOM z|M2&tKiI?5g2G3Sm%o;6+@;f|j?jdW30j8`ad5yCI-JI$%ec#t5VO3bkt7@mC;;Z$ z`8dma*)i?QdNU4m%{Y3LWBA>|rQZPY_t~qJH`qD;%Qr$_*2B&U@~zAvg0A&>dHD`_ zSmR&|cUBViw027ok(3{F_G;(uJiX$~>wlqpaWP+g9}L+hw` zKA)dg;DlN0@CJ>wX+0A&($gK{iq)Q$62?vhyvnIp&Bp`tEp$P-_b1#=Zi!iC8mJ8& zJ;;#lQ)+ywW~Jh3P)xs#eDv#YeV`qOaugRHqaWY%pjLmr5ds!Xi9=&381GTup*1S5 zE78fP&1Z3LCp=qAE;AHz4K0xX?#ReE<>%*<8;z&-6*ZX_x_JQHah!KOhJuAyi;IhN z1Yl_F^JU-7dTHU`HRHKQ=~5A;b~dRg4>h!TGn*aG0 zEm^e_@7q_Ol;a8Exfwg#MH{5vx=NikA^{*qi!?1vqcfB6mXN#ym|~HhqsIjK)*$k< zR8O?`>EP~t@@5SE2y6G^(YcHy1Hi1VtfSs9Sgyh0YR}%KzIqxePaEmXf6{==EBq|R zu%BoEY$oPsm(DxqG!>oT|pv-q$&|c@R1sxRX*bdq3T3(lpzTbI$_*U8V*~O z2lJL^!}>isV(?&_IfCGxe)ra9H3kmWoO_?tv)9kpn8`!XWa!kUuQ#cg#>dNYt(Js{ z(0{d9{L3F6_W}R^Gy=yF5Shgm@*wPN)`QOC z`R(_7m)D1=@j96M0T#6n%+x#{DjpjXW)bgJ(1Kqq|+%E*hvm_%=qz?PQ>8N!9>JU zN=;9LR1@Y1e|NK}Mn%Ut!V1B`L4XLP8a&%ZLZ}hp@pyf4+P0M%G-g8|#)+M)<>$;0 zX|ay?#kq=%iDV%pREvITAb?{4c{uA3y$gLbD02{{Ja|v}hxrg54hw!O{rw&SSYpY; zHS@a5kNnxv!+4T|l;!3g`CdF+_Q%*Y*PmlcHVZ2<%8>fdz2^~bZ z-)q-w(u7k_)S===HN#LHG%^XbmoNkZcoS&R4S;k*RNA%Y5ck8Svqo>*sE@-OAArIa}?lwTJM0p~(el_-L7LlIkZ{KWGGwBOu!OkV7N-D?{HEibtB#CeDj_~2ULB-A4gIS=&?j687yF-Vw<%jw)S??TAM%6^=zrW$j)m{{-vR44p98H- zEP4b@d{?bmg=dtG9_9f&xD03UwqA!8h;ztjmJ8!%R)ABkUFXQ5|VS02|lkxz?#%{n0LWfWFVLThkDDc#L1I8=d zZyz|=qw&d+y8iSb$_|Lv`kXk$6Vb4;e5V#uzZ%DQv^FKuZhtQg=^k zad&r5zTbI=&YtbvV3hZL_T`2&`TPC9?(4cvJC5V*M|XH?W>!xOC)!QP1-(hiFn}6b zeeQl`g(PU#<{fe)E$U?u>(mSdG^|z|PTI5YEO96VJ#g(B*DaA7k>|Pt5c+K{5IGqU zz8%dv7k;+}dTIR72}<9xMcx>KPNJ!^kDs7$)SB8msh<)pT7Sw0(#87#;&calD+keT z3zH0yYpC8HqWd1+3y~V+-27=e+^tlUg<4n-o42e{S0D})b>5PUOckf3DkMBoMJM`E zyLZ=yPq#1*P*s>OR%&oDFbmiEP_3(uVeA`OW0vBsL*QKj;aau+8>K|nYQg(UsE__k znN{V=X{yyp|yfR+R^$JEHomq3BuvvREv*g!aFPCAHkrkkCA|YiLz^jgC zv{Z36ki=29FHvhFl$zLqq{hqjak+uCn&rB^29Bk%j=>bTkw&%g`yac^|D6AQ_wun zs65!fvWv730ZPA`__*~hHxAFWCKsn`r zFANvd(pX8FFF?iUmmW$_R~t=#M+_b2XqFn!5vYe6>Z&m~%?<&-s-~LgDu~ZUDP?~O z0GUJb;(4C&32f6iEqp~wspW3lpfhfIO27W%Rk_6ulNYJlaOf1t09P{BZ&cLT7m`KD z(mPLFu3dX`_0h5onmRmL4?nR$RW#OZ&CbM7^=R@jqv@W}q;$}%KRNq+P|R*xuz!mp z>p@Hc^vUKu=FFZ$0 z7btVrA)Pw+G#$z;)WuIMRZ&isf)kSI_h;NpTOYU;Ob?hUy6l(;fI3kaGQc$$Z$q>m zDkzhCYi^@ZzVDK3l3+b`>x+TlL007twpNUN67)z9I+4D7B3A zIhlYC>VcP?I06mYBAP9CD84_A%`W;px^qkYR~>;H4!>h=|t( za}xB}%|Fvquf3ywM7QH7k5^7*o#yQ>)uqE|{9RwG&sOiy$1mQd=RRDc_~b$Ks9dO& zo)DzqqV>eNQ?zu=R?Rx`IHl&5C5Sd z$clx<#;LfvTU*)eZ#;<&clk067&2TnI4+;=P6r6&tpgPRnlM61w5`f1EY~trTLvc# zP;}B@9XQkmECgOAso_m%h}qbI^K7L;~1G4 z#QA8W_69|x!M#rVs;Sx0dES6HE~I^X7oWMGI8qpP4-l&EE~w(LX1a+0+lc<$;aq}b zQRO1|PJjnTZjCn(h4MVEh=p@Omu4S;vNd-FQEL2L0s)L`8= z>r_cwt9=JLbzWG9E`+|ZVC5Rlu}vACF#5 z)Jan&Xn1&>s^M5pN{&J0h7>rwN*m6;qi+Ph&j=hvgJ_Ge*X!*)v0me1_x|NzqvOWZ z38UCxTd2SEX!ouI-&)JRJnR4Tm#Ll{K9t4=>Y>jI*8!_1O@;tJ<}w68gsOSDaT4iJr3 z&?LRR<^a6GW3{HRO1{+HvJ@>BC?yS@v{iB+uFs1RnfDx`t`avLB3(!tLY?6QnO`(1 zW;VN%R*WLm>z%h#^Y=@$W{yGyCR=ZQwS_cbAafNX$BykW91bJTH#Dn@!7Vw5c0$8h zk!>oe_tPkVER6_`H>0<@Vt0;?9~Gx`(4wxWCN3 zu{Z}^3eH-l@dE+@N`=THK1r!9CHjbn&*n@i^bkYxW)Ynx`NwJ3o-DvtJ-XrNm+8~@ zmMV72bfpL6>Cw-(C_$K!a#)gdLj~ zJNic8`;5R*G>EqI*>2XmIh!YJx4+#Fd(RaQ*HSlY)|nk9!F)Y_*%Xx*WNXu*5>j;l2P!KZl&Pik?6CK+ zT7D^d%kZU=hIIANIY>a{O>d z?@&3`$azy6GUFARVvA=NGzzY37vLB}>~_!z!lFlScy66UG=>pgtH51H6m`Xj5HG?>%JKtU-16@JRqeDxvk{>569-0uBmQ zTtzx5NNR;ilT?0ipF)QWQV`K@0m#)rzDqOb-h$C}Gc8c&(a3~or9o|QZ7hxSZDcu`s5f5!>&taFDl#uU{gF&&@%=AUP8<2WY8nxj9;78ytdfUC>7$K@7#As;I$~oAc z277DF=wW*F&BglJNn^ESOD-&q5H+N%*L~-nq=UKD^pOlyGUM6W*iB>TYE7ZsGXJy1 z8sgokDtcSCmK2gi|3~YBiDMHNhsF8L&Ay{=1pa;mj-o+i;yH(HVHg&SpH;Rj0oJ>{ zefua+t7pM3d-D;Ua`i*N+*!c?=3z!GHM0oL6^&f$TIqNYt8kLk$ z!-l9SD$tRJ%gW5s$`y?S7gX53HH3fvQTCpGKGizw#1DH7*WW+kKfP^V{svMz7Xzwk zn9KtG_l;K|=e%`!FpYGdW6<7oHot#(Iz3g~{X=@mcG7>jYBom3g><42%THmz{9O%A zO6>~6WcJJc_V55DNe$6?KJ_bNN9S!wtg>ZcAgP^gSoN9q9NME(r=P6MJ=@h z<_J9u%@A4)WOK)iuKjDll)YaU9eGWd!E{yCQ?B?>sbEi`+J;O64cco&gFsadpoUiz z90^jD#>3Dh&Kw)7t!bIseYi$}VHk5dMw~DtUa!4=r_R0mMMVto)#|ngRaz8GJ&(g`sETDOU{l3qY?1)yQ&s6+qvidRNs6E zXOT$%yjkPbv12Vryy54n9O1bmQ!^MPl#gJ$#yj?)-O&p*9**1z%o@Z%cR@H_*({Llv{2TWZw zlhw!w@_GNnoBanL+r_4wTae2h?C+?>0NOw$znG!;$45WLAdrVFmipljiwx~t%ph8N z)RcYN-D$f6U(isZwi(-DNjHqvU{$?_jw)wSD@aiI=|x+Vws`}sl3WmV z4pa@%q0JBjV%bD7L)b&KX5M`#5vUt!N*C&wEz~KmyLh(trMw0N5F*#VOg0G3STDw@gA;cA@%*gVb!KEmh=D zEn2r#`Sr{rzeFTxsD(n|I3Ye!doyxT$RYK|cW84WVBQ{a>e7}DeeuCE-G9sZ`f&Lc z+8%+PWz+Zd=ub!ZBKbscK24o!tIbt6pW&N02*svGRUh7q@$r`{V&2xv(Hs!YiYO5P z7Ngw-2+NcEJ9y1q_r9)68L#rHS~Yu(RBBU$Iz8Lvm+YflSd<|)Zn2i z?SjHnaYzZRI6HuguDJT=4z=f=U)`x~dk#5B=2WiV(@*?HXTg_z@R0}f*emmyET*s9 zJO3DlzdfR&qyq>4_P)MbeIxK!BXATAqD@M?dp1qmw(Wz=-?zirrX9oQLZskHeI!wv zx9?Z-kb%JRi~eSxw^D}l21kB7@9>v@EOG$(j**4bZ=_Z z6A*!?PIunuFR%UQe_^Ns7X0&v|IWcsL zEWY>a%@7_@%Q53;M$yux_S+&viw^C6>wZxKS>26|-;MfJH%81-WW6)M1u6&d{bq!| zn*-SVX(kKMq6K5vg@H95UUxUW`~cIKe49m-?%ZiSQ>SCPf2drjW4`gsYdp_Q8KWkl zeVW5{BXV>Z!1Z>KFP33LdWHOvmUC;$S9^IOGG$?^1z3|hd@QL>f4#UUMW;l$>9zas z2VJ>M!%4|4z=#Tw}u3U6{}3^4VpX>H;a*P9#>{LuA;AQ^Wjg!#M~=BCZ|U zkO#dQ2OKj+skL4jMmm4Th73sKezbRL(&61ZbkF^_YQ@U!+Hw%StxZ?P%?BWi8@izI zJCxo;bKl}hCsWdcvt;AE;$Wq^A+!$;C#$e~v07q(qWXh-zi69A5ZOkN<_-gp zGxPM*v_ECH3aY%+$#qO8>h7SHdj2g>0*)!rAJ%5*H1uRINpK;u_0p!CL+HGs4~ue< zjZao2Tv1PdJ@v(A`cAsh_mNgroKuy1s4jndv)o7vyI1+ZFU+Jn$q=;!w(C@aw=-u= z*V&JKfVcx49H}!7NlsSYf&FSg?I?x__U2#yQe(!QpkQbs1^Ib6!Ik=E^%{M%@*8}> z1on#F$oP9t?!FiP|9S+DqCqq>Xg?gbY4T%+@Y{R%i--Y8ojLxMfHNMx^J={WHNttE z&B)fX8N(yDzkQp(egnJ9ISj#S>8jIaPSl3Y`*gyrW7#~y_12eb_4ImN+wz(gPXzZ@uG+>X8fp~5$eU8duksTY=cR$SF*l_;k{J;Bq{O7N* z@iGHw#?KU6tY&3@oA9{Zf5bT2Z9fd1H@yvAi4@%dHaW)78FM=iyK+Qh?X&^{B^nnU zN4NDN#)ecLhQJO_qB$EZ-j#oC9`P5S*@`A7!X}Er5KTOGg2o&_T5&RxL=!disjcI5rL#w zc~l?^7Xr;9D^GK#9;2^U?2ux7JWH6UyMF(LVuHZow)rYBn2z-rux?sJb=4DP1Jaql2wo!2 zgOj3l(#4G%PutS-)y%x^89o{y4biSmMMHb{fE4w?aq(ersF#**TB;}Ny`k}J39wnsGehSTr{@sDyO3E9vW2>ce*J zEKP2NaT^7wqco!(i?eb_7tJ{fLF{knk=Uc{@JXHP3(&=#&C!sQW&l0d%-gHzD8c7< zkYXJM!qB~=T00hhtQ;iP>M>4UwNdiIAi1Fiv#o3kH8)r7*~C0#Ze^0^D|88$`-Dl3 zljZ`rqp_}2soD7|APT83ASFx0XFwMhk9Lr*7^x-SD0Iq1HSay3%E1YEaOtXu7);j) zUnM3*D}(oJsI5{M8}*)zd(kiT$9Rwu^&z@qGk4?s-2j}_6cl1>XjK#uqh$ALW!4-f zLX73Rur~Zc6avs^>Uk$=^@?u*!njecgp-MJ>H-0J-^DZa`?o)rn?J^#_EO&Q1DV@I zgKbE?0n##lp$|3EdTM>}F^Dkvd@>3lbmH*HJE$(Y!nh!_=K|MIw7hbID&ygTJ}anC z2%_>y91KF$PmQ#Ca%0W(j~jqOPnCuY8wsa(hbD&%bRvAuFn=w2@f`&u4VHh=L5&=L zg3g}ns*S1p&>3B?v#+>TAJZV%!#6}@n$qxl74tyk39SxmxzhBAqrcSsyPrs7iVxwwC3qF{TA+zzir=dqFarv%_3q zo^_B84h;!b4k|f4VXRsFCl@+r^c{U8@O?($C>lgFI;7GtM7iaS)cLA4d=N_X+)F^A ztr?|C&n=Rt7Z7zemQR*%;%`qpNEQw7Y9AK)=%Is@Jb)f;-`ds+7RUCTdqKYe)?hJM zgHoq^2Jg4;U^-51Rwv!N&N44*bJc^W*{w6G^&gJZHCfr83)OMRkRfuHW02Dq;{eqL&C;}`^i0alnNac8UQC4lxYb; zdh*hVy84eBwE;e&3+Z)NO9MmO=myP-HatiQ{zFo9`;8s7}XEpRBRTBenBzj;0Jr z)?L4SN-h4$T3LfEn`aPRJsJVkv?DF&s^sX=TDtZtjSnIM?mF9XaxKd51g+~qTDPZ* zbU8GsRbK#LD~eEKa-`;MPFGh$s}?U_qH{02P`NvntMQP#CQcX!`9A@l#!UkT4kUf< zp&AgYCb8F!qRl6(zEUlnSPFU1Zp7C6j=mB2J|l1x4WgY6kplZk6Rn!=er1`?nmiE2 z4PUrvb^2&SCX*Ve4}b|x^hIlGs!%&Au4!Wi>(Y~t(UehxZRt3hn3*}-WGx-!_KR66 ztwg%%n_RuUWFxOJ@PIweV}JE+dS3$+zaFDz7L_|3kpMfbe|50fblvrM(nleZv&i#} zc_>}8ss0xoM+~EVB?Hn}gyX_&(x3RFJ7wJ;8;xsZXX^Pf$^l} z5S<+g5R2bW1k~!$s##~ACI4-oU=*QsR94ZABS!0rYLp2%(VI>XF0t_dR=QMIRiK7U ze`V}Rm2cEIfF0$ki=br{*$r2dhGT{`5k2;l*I;Y{XloQJKZFHxH*QfAw1B`kKSle5 zsN5?~S!w%JksYdujp@o7JB1Dtxr8#1@cRX-zA!_p{`4Rv}sG4x+np-qr$TMnd?=OvtMtnL+yul zP_AQ+!+_MoX#~|v>XZU5!T?Y|LK$l`M+4g{wS(TFJ<$M4_}(r6gK9}9KXmucRQ|iK zwJxWCRBSDM62~BrUrGHH#4M@p(IbYSExJ>QIELxznaa#WgY=XWG`Vw+ToMLp=RrVh zq%2o-_S2Y%IQ6VvsQxjG8MXWP;AmCWwP<-|kz(sBHFQ`!*Ol}#&W-iEv@C)}spc-X zz)jtk3D1+>j$1q%RGL|$(4^t|S5{0)Q%aL^!I{)zT(^ zqUw=D2P!YGK*3#2>dxII^*`SEjNYZ?>;k=i_Wj#80{_Mc{FMp$H=g~SpJLK&mICco zHk-+_UskaMxXos18>s!5$7kf>vB|M|=<*rBsAE)ERH(Q9^rrGCn&;=`JIFGr566Jd$`HU;`n8w2<=W$JV- z&TdpYQQde@vlro*Y1$~QrqAMW6UM-t3??$#r_a-J)#OiI5X!=kxDXW=7Hcp>`{&OZ zuRA{K)&SnhDL)% z>TP%4p$8s+R(m(DMUXs1SD$i>w&#^9n^sbtI0HfMoeIam6_(ZN#-|p_1ufOjAG=KN z?8G@tqtP*<79Gtd+J|H4OJ@!0@?AuG{rdSUJUCbfK#4lYT!a$*iI~%&xYSnVsTu)- zV^Dm_MJlh$vxCkf30#XtW#{BLMyRo|UUk$thmSv2Yj+$3&D)ITY>ER0+5@}CL;`Z@ z_4tRIPLXeSy_SEyTz6e}wjN%%RgC~+rX~&nFQMW5wh82wdNSE3hQd`wqf zbru-4S9Qsa_wk-+p;{WkIsVCh@ZYuU`o7H%I08q}AlhWa*jW+KmH{{2h~n(9w22)~ zrI5|@rn!@J?Kvl?AU9Vx-F&lBQ&XKfltqQMxgPnQl9J-2;=cZR3Ec;xXgL3K-G1Io z+C7cXZBM;VZ$(2lI&b|q|2TT879z62{y%a27aGGqkE>m0qF_szTBK+o3hNNjLpr?M zh~ucwbW@|U%hV&|8ZrxTid@_gcP1jU2(cCCWqmrE9??usbDbtc`YJg&Q8A&1Am96_ z2V`MsskKPzQVSh4dgy#_qT&^mrSc2xhaM(8&n|BiR4nc3Nmqwj5XTrnF28kg#}gyf zNM^xO>nNy{K|@IGj8tvoA$8Db*c0%^AcWK>1vM#Z%ut;=F;X4Otq_b?@u80u6p#dx z5{d($nDm@Hb(hyEG1y&!ouhSjG%ct+#%h04fEHy{;LOqOy`fS+9Y0i?KY5ipoxi4> zdbXA?TS3F#X1UW$_h4lW>A+aM`oe2O$CoN2ZHLB=7$v{*HvN9_J|zwxt~8qRuFuHQ zqE)*zY}#r1;LHf6BSMh_cQGtD0&qz^ATVlbM95u4UG8+h=&5g1CXPW95_*3Av~Xf9 zvGdR&eB~KWL*%BF3Z*w>@qwLyi@dd@2t;<>Qk)sC4cyCC($f*3Zu=!bnIP5d1)+Y< z+)K558=S(tG!cX=#*H>xT+ghcdU|5!%PlBIdvYr^>G;WX0EyL`k3OLjlc9xJI)F5; zwGZ>aA#v6|<8RU3%#$zHufE=<&d5Z7NHy?F!=Svhs-4B$M$Wv8lye8EgiYJFDKn#3 zlP6A79s0K2oz!DNqHbEZP5FoNHLxFQH@F0DrhnIW^o_vx7=fc`5X}%01vnAn|2#7@ zau!AW_UhAg`lLa6_uY3jfBt;ZDl9NItO)8(Vbs|I5DK=slqrr_3a*4!HD!4ErKP2M z=9y=-YSk*;ci+8w`_apE!xNuEGDhH+?Y4){wPj&q01imUF@}8$<$qp@ANHOj&WruF z>u83m5&((O3*4=S2>8R%?!+QmL~j`bvs6=#8LffGo#Ox{1_V8w9&(9I_s{bsrwao!YRtyv_=>G5Jx$&i$Nii4lUjt~`0Z3^?W)&d?|!=Zh0hh32=3qXbP*dLbmAz*(88#H z;t1Z4D3es82l|>rhYnH0MyL{`2790qbYiqUsNHQ$FV+l$b=8$W*Xyr6 zrH3DU81~;e?p*+8!|;(K#9(Y#*lbM=$X&wD{%63ok3VU@zim;`%5%P8RM2N zxRcZY(IU{v31Ns)td-AzF*pMjKQ^JQTBEC9@}O;(yMA)%WPP@91&#=Yvt^_rhN4D8 zOx=jwUU1S71;g7ctZbxKTcIH%67}c@Uqf|hz=2_vb_Y9|ZVj z^;?&6+Cw1?7)q*qNb)d^8aq_ejz5zOQixcL{letwEN;qTR8aH=p0mhyCIA@U?uHaxCNS6+6BIzQW@cb4w} zJmsP-uPs%}p+gEqsM;U&VlyvrCqjMTqi+-xIzfL(rDj-!p=2I-wbk-w%n3f*qyi>T zUVHnQhp9>L(XPxY?FOt-xO1yI$DG#Neck2{hP)k7ax$OtM9$46z$^kGCv`D%zTByi zwui;*tyPD#KZBa&pb=^~n4-;Z|3=jZ*68|Mf2lLiz5r^$b{sqEr>NU>VYFHRZdFmc z3&r_s2Tf|}<%J8sqFM1781ff&)@i59%dcJce4R^8vqvRF$ggjy(8s$sYWUO>)m2tP z9gPn4ft(LIrM0xV^0mgmjM=a_>UG6Ay6xiAH9w_DRVV=UxcF-5056RKz|!W|p%riZ zPA85SjM7t+Mjf9>*Lz=-ej4RAC=sc&G*GRy{o?WsJz<=79n6;d2*KX+(;R+PinBK1A!*%bR*K2U~)JF)$iN0hhERUdcm7?K}EL;Cqa~Q8b7)DS?v%vu98Ho5N@lv*C^1bNOsN|H2FU`s=Tq^jczKqDG7u z@n?k-OTC#PJOa`%V`+MqW*Enf8|M_~*RNl%YP`sMetnPrMBVZ6D`)7+-!D`ki_Z+H z<1t!Vj{kaN#=kk0z8gnj1k4~>pFX?JwrhD1su*|Bkb&)76N|R&x_GbwI^-HIQyU5; z`6!uml837*OoNvg4R4W7@W?9I#%CL;6LrG9Tf1_jwy)W)9rbK}P&s-?y#*x&>Y-ca z!VmOT3WvZ=cNPpfqpBWrWBi}YLo zkadbBRIrIX%)0FVlxIS%XwRx7X=iAw%bVQwv?uzATyTl#*6~w;ZF3^ibPt_ z;898~$e;&jh=w3kH}i^<_3pC|X#Ch?b^VQZXw^5Xsfiaj+{{pj<&~Aypr2c4I2?dM zMe`NliM7nCbHN}N^&N_Cvc#?sX@#f=foA6wDz8A)zdpMrafHmt-!c2 zz5yuGRMD`v4Cylu4|)vpy;_^#apStvy=w)~gDLqrOOr*)lG!C;^pn?rwCK zs0r}X4QEVL&EZsS-TsXpx%uaMHMK-vA+a_vhB4b$sLX=v0Bxf(N|wiy>t$jKFiMn5 z%6MmbyPAVSx#naM%)ub#*%=njIC*HW=ZWer-K&#k%%lNzKSznm%g^6wH5NejAto+f z73Bqb_r15}9TluyRq!19BE+Mxs{jA-mPgSbemj6pDDby~>CfhP%h{9YZMR=b0hn05 zDF)dss|z;LXw>AtEJE}rRbu3LOP?A~(bB0V2UbTzS-WkIj~+dm6i^B&r))j-)U*2a zUAODZ6GmzMt_&v%v6QLVMe`bs%h-1u{Sh$3Wya7055L=k6u3o=7{4xzq(zDzPzelW zYUYNm(aCUCjy_&qak1J0fAqAOH>+dACUi!bJVbizpBB)OKJC(kG4Z_UeP43$NR#?5RYRqI1}z~;F{oX5k4sXnA~0$9>DZzlXb=^ zye}=&makcbWLr95wJ6$n5zS+my|_kx{$8v#6C$S-7tz_o$&)o?=qOE|a)M^v_>AN5 z^nmz1J|SGK4Rvq^NqcrQ(ED(@9(!|{@~W_GIJEglzj=6s=ucZyXgx*h8OOneEI=1X zQR5@_#6@xqks9n^E3!4pP;<(TQLZHw+P@Yd0ltShvl0D*)gQ{srcJx#PwS`%8Y_1& zcH#ZPG;ZinWu|XM2XG`SzVGN8f$uj0N8KPgQJT&2Zx1V~A3HKq$!I5D`{;d+F^r3g z)A;e@oxd$5YPU@(#!_yz$a96#PdirY_hkGXhUPElaO7P2ejmLNuuk$;^R>eh$uJA^T)CcR0?k9QJ{Bg+X4+yF zC#K|7YZnb(J$UTh^&24JqnAn`X+0s#!1j=4tmi$lAdVjbsL}(VB)y=D>ko|s5KI9e ziwEA_Lj=^J1&@5H7L-dmTe`Ib-d<18OijJ*PpGi;Q)XJa_Q$p%Z^k)6V7Cx4FQ-U% z+;gv@`X>VpX`zPJPgkBfgT}yK$jce&f+&g4HV{q_#P-~;-;vhH@kpoOIl9efjlDlwxR4wtTZgAU6ljG9F!yg?CjwsYx?@Ei@4JMkL!* ze}Y3ooCd@OM6x>D_8oh4GIC~LEnBUN&OKYd#94eda=Z?%-Ok4ErOTe1kHM(dA0N3~ z>pyr~w?3IGx8w<`Lj-$RLZT`N(!HQ0437;~As{3duO6K;gKjWTP-+?x9S6xda`;G{ zbI!R4XK%&Xtannnmd-U{a67L?`a9bC3kV2ResOtk34(O78;1KJ>EU3sM$ecKqA0I! zeeltI-EiYsYTHa#5oB<>acJBybZQyMHKb`Z=d=2oz4D)Nf|~bbqXtA>xuRE~1C2Pk zg}7?$$T2#67+x{Yt*%1Gj#;yG#WK>Pt-9%|%hiZRYykDwy?gg+^OmI=4Xxv)S6KSqAlXHl&M9Fm3XoSswpprA4YT-4K2bp7rSk*F@tDE(xS!%3l`|s+wUM{ z>PmYbt5w;57A5l2XA}Q?S&sT2jJ2t~@GnR}(1n&lB^77jnsyAOaY{Ym#Sy>o7*~kR z&(vp}Yh-{6u9`(OhD^4=zLPW>FIRR`kFI@t1Mf=|-C9 zps$8RMJgCleGB|ScOI+E$)>L##PSnoYs!>3y`Hg~lq?a7)#XZBw58im+07N|BrOLd zQhQR4()GbBva4#gMq~(1=On z0LGLmoEmOahzG6DniR&GZ~>9}(tBU)xqE4t4bs#b^smY5E&lRL{$@M@3YjzGNh;XK z2SpqH_TV{ozBM)F2&rc&0H|sYGH)$(xhO+2vEo3PR-zWvShQbNXtqA{(K3CpzKjUb z@}IWFScs2vWL-hrz2rY6L7hZ>{^LifwY~;Ga4<;SYKGk#4ye1b!3T7C`hjmkNP+#J zr=AyNA~yUn$q0^qg+{8p{zVlU48A%3L6;5fEkshFGf7->-)d-1AcIW zXokyvd=28pyzHHvy_U$PX(Ee1KGaXo{BemB;aM~|lyrxsP0g^Ke){Q-akS{qA_Fsk zmRd5yXQ)$)5MyIw9Rp~O?c2A{dEKyK!<;B_#flZW_uhMS!m*?Dd>xiTWmD_I8q!O`F@ko9+|7l-3c3Rh z!A|lVllBIbgAug!tF=DrM3BL%!tQpPdQ>NTJr9>=wfn+Zq^6v8xB!jRIz9KB8}!u2 zE0s*m85oJ)*`A?PHu6Rcr;DA8HL7iMQDrNDA0iYN(s(|cb4z`h;zysUY$zFbT=R&o zx$a6Oj!M$RsdIGQoA(oiO_Lue)TZ<*wTH(LHBtYnrVSI{^T~ZB+BWY)-F54&YQ?~W zL14F`urK3JQ{48pW(*_9P5%H@lL7HZ<}DC{zh^&Bm4Ti%hp-+_Ut22<9qC$@h0Z0~g%dP1dVtzNkOm`r)=ea6*T~Snv9wUL$grxqob#YYi!Ez|=Q(pa zH9Ts1zxws{%D-!#HtfhigEdG)6XP}K)DiGD+Z613ik2?G!WJB5^1r8mdW}Fqb5ICx+^mJDis4X1&MK|(%eD56x4rnM~ zoqlv5i5oCT>AA%a^+Q!tU!j2m`ZGsNS;w0`s3ppd2w`$D$NJW}t(v~$dyK#jZV(Od zV(ArI{p}b=+rXSn%}$O@E@418X(*w7k>=D&`uFdzf`S6a_}SlP;4Dpg#2{LPXwjb; zS=%TKb!rh~R#p}~C)7cR5-kmN1b}1DxAf`NCr{L8E4DZWv4@3epKY?LeaDY80%i~` zFmNTZbNnz&ljh*9DhJck)$H6-VG0B^XaMn|yTe(v4WBFPrG*^_u2 z*9oi152;MkrEMe+cS^jcp1A)}eZFA1h7FFP5panUl|C_ln+8Y5K^34ym>FXX#>x^p zOPB-3F5SbO?!yMFhg4xK4TSTHveX8DuFf}F=e)5TGfYZv!fgF&Wr_mR8`ZcEvFYS7 z1U@)^IA=Yv(WDsB|72eJgrP_S=p?7QPN$x6x{`@*TuJG-lUg(fpc^9|M!l;BgY9n0 zE#J=RTHeQYakn-UbSN2AR##Ithy{ewqRR3LYK$F99GavPPdiVWLJ*zDHSimDjN$;z zJo^0S8c7La#3@sivTl=39G0N*vt0D2mHQPs5xrGEYJi2^3JQ->&c1XVKX!<+GIMn( zJ42<2Wz<&J$bE<b*_5I66>RxTfat@ByHg_>S-q0|)cm13B_a zh*M)>uKaO|+ljz?K&|?r;MCGs3PL!Hnk3gdlt#=2*^XjQRzbd2K(lf)LkpOvlQHuq zQq4!>RGg1{7!j%Y;eG4;$64h6g`e_+8$=r;CuPd4^gxf)>B~k$aO8*$3vfieTUuHj zLuV;c0}+@(8x8^4B1Ve>EhTDEqoqL&U}LFRGk^xVF_4Yb7b7Dh9bTeEk7g8&&~L|{ zJ$rS*&&D_%%*Yy2#QO$pA4^1eMEi=fO1 zVmQ30-9^-AYgD9{_SIPvT2f?rDNxzaA&noSru0ZX(@DlV2jBZaQapSLbMqA4K{gL$!bBVHL)Vfp=KX`JtbS z^qVIs*_h}cZ76HNXkm==cF8X^K%EB=?QU#^Oy7Xi8_F;Vu>d$iNGXzL%*=OmM0o>* z0zh6?(A*bC&7Fv>i@Kfl=(IW74xON_xWqAx$w^5{A^I`!ks&Uv^Gh3qdj9}lxQi{y z!%6HP-(UTR2FsvU^w2M{ysBRA5$^O+3?R)KqTTEEDw_J;T6$~p7P@)%Or4ZmtIYgn z%{+4|y00!!C9*XL-sa~ERw-^sBI5+#k;cuBJo|zUrXExW!UirlCZ_ac5u&ZDqT&J^ z8BfxtRzEc7)>S)q?$VZ&Tn!yQk*LzRlh9CF5Ll->h41hvoqpjKw8?Pty=!{ zo@}J;Rd7S8vwXw34%PL@wz)G#Hj`|;nPRRu%&y+F1M5cEoHV@cC4EQV2z;LrI4TCw zi3l8Lo+3UI*V13TQ38&f4~2CWi1o^|sIMAt*bJhjOO0%Af15!(5+Pbdc;q=2IofSY zmFDK=I!4kI`R%>TAlhe`5w+)AjmZ`Udohs$=~8nWZPV>Le!LN24tQV$-1sruXh~5n z5ZA*J=@=Wcir>x+ORSlMpBoXO)di>1Q1-4lBlPTwT;&6-c>JkboJjEDPuA+vpU>5K z(?;m3=N71%C@NxToXYl9=!K_VQ)qaYVu`}iQd0Ho{8jQDf1zBn4lB;5OeI0bD!PBT z=0O&Zi4WF`AzpfZU%jqNaMy7o`|Gts@af?5HPXW|1cjXzXdk0TCF_G5PgndPBCCU` zdVW=kUU_?!ojnn*hdRp3J-*htAz_b)pggRQ#v@A?~5bATr@#? zo8PW{=LP^ZVIiRyN(3jEn=Q=<)?+{_%BwI$)W^6=Ib=bC5JxvKipd#1{@W*Y-75=J zi)eO9ex(wJ4Io+yfTkhER-~zaK%4T4-1W+Vc2$%eK$jG9J~hz%z55W#k5k6JJhh-e zGimGqJ$MUrjbGoOT(UW~KAWI3S?nF(KU!ra#heRHtVQoQF`XFdQAE+nLy|Op^vTM1 zTcb|uj_HME>Nr@ejsx^-JfmG7z5j|6b>4XE-SUY^c4~sQ4n0U4_n6V={rvm_<Id4@))C!mx1Vm-Xy{AD<1#pB>9eSsy5g_;r zV`xALLx-BzY*C)2Sk05P=+M%pmIk%Dp&b?>+TX@MG%$kQw%3>ewC7st)E+bAXM9Eb zF{G*yu$^6)e{E5BS<4x_tMB;HM!+^&BcWS5&;T|TguJkT4ZK4;&%f^+r{pf1XN?R1-W2lZ;m zPU8T&5uI86&_FJR09{ua3L(1$D*@R9#afj-;1}U)2~Hqpvm> zVrXeI6+Q&nH56RYA@y_*qU}~c#RYrm#Nz-P4H!sg4HxanI;j41tvIyjfD@g1QLr-^ zy+Tr+CJxY!lj)CMYaJjNPq>~MAYy@7vXXRVq6=8PRbS@|?Mb^{#L6VabNq)TWqu&uOd92Abk z(L<(`z4;1V`RWJSS>vkMq9P5Tb=1}H1MhzDK_s|c6_-3%Gsgqp z4~f&QkN*)=CMmU0h~3ow)~#Qs{t;oyqw~AlfZ^&FGe9x@`^m#U6RsTn5s^RZ?t-^R z6gMz=kXAPKC~W%GTG3K3?*h{KK>)sR=-MpeYrq(_6;V?pVubo}1{~OuiU!?&+b#ME zlk|y;;yOi(R&b-fO98%)xY3S1db%8`I#;qI2zy*|NO*2NV zln5DQ=em8l8tmJt855GUapMkZs8-HE;v9o#1~Gz^rzhX7o-B;38=~x7Co|G%8JRke zm8L8xDn5xx>hX(2kf1|Cpn3ytX{N=Lfrz?sC_4B)MzHq=d7GD3psOyNsmEXY zf|@I}K01FiQxEhTNBVom0n~~7_!j68BSzlXdDJq4#*76i zoQKxyPqprMui;oQM?e|7z~r}nkv*i-47-P<0JPd%j6ipy*DK0OWhXQZnQ1m>sP%ZM=j$tDOip{H(m4?zd-SWWiN z(sqTZgALY~bm`!+lQetMMAEBm3XM(BuD6!zv{AHcBBIH|`exU+g7k#r=VOF?`sY=a zC@t7meg_MjsEBrYa>XdO)^;nBP8}t5Z};|hRRxh(ufPC?s+qY5&yhVGwE!{`Of8q< z0~#0?rE(&`2NxdD)P9Y$J4;f2X@Rb}=2HFs_s`M(DgfY!k>hdT%+N3C(nF1|Uqq-597xe1=mci;+~P*+vG-OpM&4@QoWb+k6aod}^#`xj%^z)0 z1=I^yL;wQd8oH92>}r7DXJ4qWL|!8jncMNhR2HpekQVX3le)iM3XqUF@(qG-I>z-Sx!W_Uy&?WXOMEj}=6-qQ+XT20j zk9$C^2q7W_{iANK1D9W~zwwU3ftG&E65oaRvZbX| zUFDuyn3<*qKsK?=lDuM?H|N(VW<qXA87>47@9L=DMD+%<*3z|!QomsTC-f1BWY7htyw5z*p8wmAs+iu%qmMS#^X^2*Ptgx`qNt<4L@x{t1F5`!Kj^k;wy;*e1PhSnuxePz< zKjxFL;d+qz9@uo)sUf=?7^RJl3`_`XYTHBaJ~#h!^UWvv$HW*5*FU%;Tcmd0+tZU;Ifmk%o6b?Za&-SY3zbEkvk_Uh2Qyps)HxH-1RbP%@3~8t{Om$~ z{OKn|L(~%)785M66skD`mMRV*<55Fj!bl?BJMX$(^X9#*sZ%E@znZA?tuHin+-Mz2 zPeqrspZs{;(@);2%3T}fH+~$C#b`zU^L6e^D^-cuxj*X!K0S^k7XY2sghaqfja|w~ zIi#Ht-CDSKwZ2-q+9^>OsK*oG02ju#kZ95}Ge(K2CmrnShM~mpFJAn$#!NX$yIy!7 za(|S5^W{O5d`J^hU$p18A#7ml-JLbt<3br9)Y815PN6ik>JegcXm$z z^~0AJY|sbyU##;lIA4pXv*hGtI|k8^rd9*A$j`*PEtP7wEe&dEQG47x=?xo54^>n+ z=~6R@re$goq=9Z`%$T7yDWK_yCVEY9ug7njFZ*)e@nepFc|eSLHyd0B^|PRnQ;3G@ zl%A2JUz~rjzS^FqT1em5%$TZImaf4<7y*2cR&UQ!f}e}xYqr8y+uD_=S z5&Z{1WOogSRyOJP;Ukif@d|`L2ja2ALmLj~syHwV)F;s;8*?k7)!u<_$~%y)PnPY} z;3;S5is!z>IJ83hAayxrm?Nup(YT?SFk+Ge@aiN@_{G}I3W$l-roHJzgovcIVj!IQ z9(5~EQ@=q)DIy;HM-dvTJt``!(ER*j1w{^r%7EGndZlh*q5AFi0^Kn(K|lHFaP8c* znTTUD-bI>pP{Kfrg)53nA1fgtK^09wdTt5*K?B0He$yU> zfV6BYEmTOjr%vfVPOZLUNV)b?Q9&WD0Ff?TIF@yiE@l1)B*oB4gY$rjP_Xx~lm3i} z8lc43HcbW~luA3L-6?SQupI$_ej1T-!vbNbx``Hv)-YV&h#*uEk)Czt$@DvH)}>cn zqMtncrV>Kql#7$%W++wDdL`P$QUZv zd||axk8MEr)Dv(McjEZ6t=WcSFYG(|M&LV)z)>`ay}KdP60e;s5jT$*q~fxA6z+?3 z_BnI43~}!UCQ>MYEiix1Rmo=RPPDmtqpGk32k zAbROmFaVtoHc-EV+gO-Z2}+Yq89-1shPV|V$~!foASj3EINFx&hkJPb`RD7i`ClqB zItF4nMufTAMzmyhiO+x`5V9@Fy=$^3hi%7(rBFdV)jb+taKU@4rxq$pdxn@7@CN5}?() zvH;>VJI2S1kYQhaF*?n3q%eS*rBKb-SoG$F6Puczhhq_|^&7Wglzdf5WacWObBrIp ziv#X)!LWOhT8&2J+s&6K9&zv*qW{3)etPu}?|kp6X9m;T+e0^8d5I%d5JGnjgCcjJ zZAy-Th+7&F9j6owCMSn6WIPRN+;{Yiz;_%0OK2U{gSGN!!*t|>x>z_*0}k-^3)W*# zJcT#t?jS_BZ`C$VsBshxP~+5I@SrVCYR1rzsg~lIJ9n;5oqd`f zetU%q0A!f)`!+VjAUcGPUdw#sB>H|I^%1aZWa(;Cn=xo0WQSpN>6djQY_~ zwu(c&D!WV2A#&dWS9>7pI%AI8Q$t9nX87cC(uR06+jqL_t)#(RjB8 z6l{%}3J+=Xim%kr+)pK}rJRg3F@=-YOp%vPMAb?Y~>2efD(*WXUY8?ladqDBKHxv*zg zwCMtB*)&sIsB0Ey6*A_QhUQezqy5WayFuU4Hv<291dd{a*gFXwaTp5`|LW)_)slza z;%%=k*2}k^u6yo7xcKqMN!iqE`?jqLL9^8QA{yYpqC$%ZEp_V6re}uI>W9`k%I>pG z*Yr!!TTxP;RpFvo$1kyx#se8>A=cu{I(x(rXPUruee4 zI($=#W50RmA(fO@YUPqm3fq)H+R$GkjvcQZYd2~#swLgs%}R@pRB2s@>TtZui|Vv{ za~di&M2BqTCL&jaVTejh)RkwS0MJ8v=Htcc9(jgpY5$Z`gDO%(no4RqH0RP^lcF4s zhUqd@p!pCV6Rt@ojnc(N~29NRU|_i~Iz}z8mG0 zD}FOik6m-6W+r*-*SFoFd+&QdH{AS7r=ED%?YHW&2kzA|V~)}G+%~-cra)Q0`P{R* z?&2BRw<%RS+`<&Vc?LtOU$bMSd|E;kKVlAL0s1EH+N7%&9#m_@cwIBnO~1b29G!Xl zqe}0897c zvqhI$i4eQ#b?6)zFH3vhfB$_tdB(|%Wu@kvHb;*=`nYDFHk;HpeIfb0!BAbmy*fx_ zt0}glF>4W_{q0K%x1_SzL9BZ*FM7Ce?(TjHgOfNELrXfsal*_O`^#aQK;O|f0{?mh zj-o;AorDAk9H0vM*O{Z{(Q(UQ=R5c3>VbJn^vEwx)AP?iqsJb50`0>C1 zT898Li0v%S7Ccys8qFx$q76VC`NkWs*V$*DsV}!>=z-T3v&9020L3MQTNp79OQG8jKny=cZIOYKxeH)Px%>C-@EIs*BhP*?= zRnX-F>705rxqVj`Kw98_5`$f}{rz`z_r163wrj4?CC{wT{&KWLOO03A0SZ!@dfYMU zYOK&;q7ni}E&qCvYT@aL2(|}9gMuO7qf1`&0et%NwR*Smpyt2-hNfNdh&n^Y(($2A zH$C~Dmc9O@R#9hscI^R$V9Wx_iuLxd=jdnmys5p-LCQh0?OEpeprA>7_G)CzD)s5U z7P2c{3U$dqv1Y2Se`=mI;bhg)px4?dIiHQZ++pP8Iyr}q4ma%$7^*)m%hSnY0HCeC zSH;jKju|;hn|AGxAE`-mIy!i*MRb9|kmfNu8*8Znk2z7*4gT6uu$Lu`bBdL6BW2uy zv9&a%CqJ&0^*RK&W%~3uf=0SxuvY)vu=iUd)e03O9g4^qtlO;YOe7meC@n2b$ATNE zV=P>u-B>250sdhNj+1rcut`*pBwQps$v|i_<_vcs181^#B$8E$vChU&T6ky)3ELm~ zj=mB2t|M?14Px)?cOli$N=n3nL^n1wSF=hi61E&7wr;K1nnfhHKo9@?WWD_2%UZK$ zjjUsZr9xZS0NY4?84}Nc8MZlgvPcsW25Q2jNt%1^In-gI^~9$e^!`_njGa#bwOZ4t z4;ODHJp@ey_Bo2*b$fQy<2 zXrvCn&h8v66!K%b@GG?yK*-OX+Dv(&yV$Qjn?k*ooRvN*5L=1s#o~Br6Lwb;Mxy z>~5_=%B($NuttZt)1Bgw;sab&0vgpELlY@zWwXzVK2B%;;(1WA5u|=WRAYc`wfttm zdgW4k^oFj`OzQBp&v&Q+-PX6CxK*d!@}BC014xyQ)Nc;B>o?)PDr+8~&zFCqHy*y8 zI(n9#`DBgy_4ibMZ6Sifn28p~i?nGF>N#!x(Y)4A7XiizW!+7hIZ|Z>85%cofCddn zLP@4e=f=%(Qn+TsI$3)?@CrNVKwnW;1GkS_YvXrDssyNH zKug}F)eTc%gJa1ZZ|cZ~L@mP^8peAVkFyhsNRKHBrDbvkc3pdovt=jxj=mB2t|M?1 z4Px(v4DN-`>6dAA9EkAXizn|7T`rc4m9;CA*tV?}3CSy@MbkRi%g`3Mwih7C=GpQ^APX z5CsIJ_ntx$64E>A*_7SQ_TKBv?EHV{F0uUul6-)CG8eKtJ9F!~ci!hc=RD^*YGvB( zBh)f_^k^M%zI4X}gshri6ask8o&iKeknQ^rhhX#UdvDhG{0yD@ zt-GD>2?uJR$ol^a3owvbWB?Q+tj2E!#sR{j@)HmvycIP1eGFkR$W!4O>%)i4m*uR; z4Vfsmb%?NHe!u0j04LJ|H9)jM_LC z&q$qn-f4P$@iJ6jQZ#p6rDBVx=^;e34?pH)#Z*_2m!se2AfY_Yr@X9H%?NxCSRRe? zg{ZKf_C#IEp%X(p>xGAKHL-*VT!*8{LAKUtaAdKL@paL)ewU~!1T=iwT0wX?oh}kl z4D#rB4&ga1Z|TvtJDybDDW|G_`D*szg;71I>z`ezvbj{hCJ|RtyE?%VW5#taS zZ=mF&3*u0U$|$oiwWeaaeH=X^N$He|T>kUNbn#)OI_s#j^u0g-OdZYW2WMnCwU8#Q zHUwkV?u*$un(a*&tt2Lm^(tWIb}FW*SX&|FGy$LfTMTRyx!<*ft1r6XLOuD^Q)=Y(IiLCzpg3MVmeb_RI|#U1lW;HhJToKH z3FY#E`T=UoL0Y7s6IQH+S%XX{2B=L9pt))xQ~qQu`1>*U9Xs+nVuAOvzy}D3Jdk*Y zpF8(>jVsIs0Hv#uZ@Tub7w`=2apjw2(>_+C92>B5Yu4inbpI=>HHG#)M^724BWE7R z!1NjUp=R6q<&7;gD6P@M551;UTk7~ywK&@`obV}wCtoBZ&{zj{6Ocahq$70LNRG|& z-OEnZMo1Qssnq=!RrL%Qse%Qa=%bUppRpEbR7B%AhV<(tctQaVb(Rn3Im z2#cc1(nf#6*(%Y(%@n&Qju3HF=b0r&3Jw1(?EMOIY6H( z;^>pu)14Dy$~v_(oz@*xrfXz)!K zBwV^?%~B=R^wD59Q`sZOX#BJyO{Nqihg^GSZ@2bVk)o)sRW4pvc2>4x@Wia{)A7ui zob(d2~OgE0G?h1GThi{knfvCPW$=p)^`T!sL`TMY-2gdfNl8p zV)xH@(|@lL#1Emynp41Z8BUs(vre5aWrFZY)F{St1rVPz!v3fM{WpuvWjdOEv8z_L=d{ z-LRXlsMQBj(Ca|gKRmQ}jHY1HL09s{YjU8jGz-|^XW?=45@YdB z+C%iU%w=FDlx^Madt83SkP{9uxOMcP$;Q1lg&0OjaMa|@7|;o4S{HW zN*Fa9?1Yq4?`YjdxyU6VBWQW4ILaX045of++}g>}rQ(Hk0~*t&Pf>YHt132Z(jB+o zqOCPuN)H#Rk!WDu)}7?rx}4`X!1W$==xBLqp6kf80S0yCz8b=Sdjz7iHLkOsqY0On>GwHy+SDBUwjNQlwv&#%&yyew^` zDe_CZU8-oJ)FCTVpBie^kr&O-@_9=LHHNe^DiqGf*Ai%eQ>>1{IGvT&s4~E0?~5 zE&Y54sdXIkN6X#XL~T?WUr>*H9eB;bdc+G*!%2zKHcNR}Qjf(_78Xj~wtYKbmZbTw zzpg`P%%r_lgNh*yHPHLfNL)nNub71w`i@g{={=Ro2zatiSCkuR_fXH`QZvbx7KR1iP&BXu%;YlYWOaCcOWrYVwY79`Yln$5UOy@} zY;R>b1B55xKRP6eC<^4Ce|3qrFyPCRr^oMG&a*M7H2*qU9x>^Gjma3PJpn+sszSG2 zbR{~X{b*vgXz?zHCIFs?fj%xi5oy3~22=D+A#PY_cq@T0`M=t_8Ykpu(t!5JPMJpbPgYAgNn>i9e?~2_D)a~Yx42aa6_RTETXzX#oqPJqbVhTzf1)Cs1l9HRP*0v_n3fa_3x={MW!G*z_iD*@x>Gez?o8nhCAwzd>ld?)n6ovF%5G9>pH+rnu6A?Tgp*H_6 zty#WaXMFNxZNx*1!COm$*z~*K{=w05wS1o!`_jb`R&TWPx`D_{JJFc77)mwpraR&% zE1Vc7Z$h`ee&MHe=L1j4!!ZX*V+=LdC?#$WVa${9>>)t`T&6rUyzrVA7RNd3?6Z_g zFH1K$!jj?=Y@VgBe)TF{e)$(1z_U=fm0XpUmTEf$oKw#{l`W$H(pYkuc%_6L0!)-F ztnNj?JSH|qF@UYjs!ZYL102|fFD3HxztRF9=yPM*i94RU$uZo%FT(y>(MUAbQQVX& zjAhDra1hJBjqK=5Vj~{nLD=wrw~5i>EuUv$?AQ>cFEA(TXlvvFEZlTQ2w8rR!7mXp z>ZOZbBM(GPUYL@ZW>}KEZ(!(wq|w(0xZpY1f3`o1&u!S*M0m1Wd3ZoUa#*_>nkefC zBM_fYZ6U(koO&!F*A)n4rx9Lj8#Ho*@&K zB|1PjXmxTR;`1-PfQWaPt`EJsnGO%ZxC|szz38HjAdK0fV~(Asqo!X%Uqi|~IM>v4 zKT#1vj{xM7`3v=@yMLsa7hkLL=O5A?zk5IzfA(5+QMmrn?75UEq-){g^_u_699=%^ zulmVlr|ZUF->+k)Pt~}*d>wQBZxuw1r!YGP^4aP7pO@F_>*vo@#v3V`vuL?0kd!kf z@4~m*_1waH6^QdFH$>S3vTfEI(1Y9kBf8v&=Wb9U_u*?_y+o%UJxR?wsOwy|lnDtb z3+e!EVvvj{Z(;*hVrYs$J%Ac6yT(r$kC#~rKuy)PFYiz=A-FT&7 z&#w4P1OUcEu%Y!7wl}7#DNOBKFriW@t6KcV8>+9ZL8xGx@(c2nk(PlMJ{`b(yJnd4 zwi-lRPCw&J$Lq7Qss0|keLk}i92*l%!N$w|EGj6{p%Z5?(PEAS;mSUXED;MFs0BVy zK(q&V1nMCNyO=VG747cRGqrF_Wcj~k0hfh88Bn3j$i-mWoC4v3sCmB;PzbBVMTN9( z)i#YDH(te=@lfy&*HaI@s#|~gLya4Ch?66-j`PMaHj2FukTI`wRBpPi{mMz2F&+7` zz8>WjWip^g>6vVQ?mC+3p6t zMHtn*$uOaPSAB)tuuz8>u)hvjEYvrk86}CFyH7it0DZRgqq`YHh_o~(PER9&*34iY zotUaUdulZ)Ctc%5k5gW%4^q*PO7mjrpO~VZTequfbD2KzKUe8%cRm2iGfsK2je7FV z@95SWZ&E^WoZ9F*f5AD2>8G=vRTFxx;V?uMz{wYzOf6_Yd#b9{(3GpsUVN!ue|$GQK|9(tX1-J zPaV_*d+twFCbpNC+v8ZpL1#QB48YOG2rm>*hRjvj7BFRO@f-`O|(kGh(>^pl5QK!~6p zxv^@EnLJvZt^}QZ?H#J;d{(R>wA|LIdskK~mqx{w$xF{4q4{%{D%m$x*$`4rzu^xW zH+hCupl=$CN!6W*Zg;h{D=s?&U`O9~?MfyAe&qpbRfH|0q4@^!NHZv9DBH42zB5nK zowI)ADCAgp(!8!dyz>Nvykj8q)YX!Er<|pp&JrO!#=6=@-Sg*%l%G3N?nb5?6#Ne) zhBO1Yx_OT+Ws;HV02Fj^ZK&rM1;PLY6bQf#$iYj>!UL)!YUzcRk8?=auvafF zZ&9o@6(mgANW<5zj(+ub^=K#sf&~NI5c`OxEWx@Y_!;bNw6sRj#z<|YCas@FzEOB0 zeRwxJHf>greuZ(-VI3NVRcvDH6#dJ zR{u5xh2Kx8zK0N~J$rMIj0^O`^0WaQFdrgZYKt4MHApE$pn8ukI^{6E_Udc^JsPoX zJWWevHhE#O;+F!lJ1e49pO&aE6ZRC5$X5u%4#FsX6M+F=vX}fSM4oO)Vr`8uN>dcr zU8%~N2F@o%Z#+wAH76goY^4KuJvEIQO6`&lIknFEIxXskxrw(lYGjG_mX+yx6mi1I z8#QM~xm=Zyhx~vH-fVQR8_{w?)bTJ!3#oUc5Z)#L0YaO8LfJM69iVVNni7f-!U=;A zX957?Nhi=Dq_th&`~HnO@%z732Y@z%R#KzJPexg$K`S;iFr`RPXQ)@FjT(da_8xMI z0IkEcwXC6G72JWj3*}e~u(1+2=Y;w}G=)mF9%dfnw zX_U=$Q<9OEmaYcCcqI8pBa+&B2Aby4dH~WO1Rw+1;NS9=&R!!wA{N*$3w-1Oalfu2 zveHM^0&cvhFdmh$L!0ovf(B@~SG_~zfPw%egK>x=b0=Fwlnt1pvqOr!J@Hzy1~G89 zGg&cX7Fx*EdMbti#T9=4vl*2x@z^vYb)^(Ta}F`)YjSVv@=RgOI1=zrt-;4Zrr|1^{|u! z4C>yACvdEIuowpO-v)3pn!FA(5GTR5+_k+@`9;N=L|x-X%7a3L{%q9&z{b3pCQ2<* zhuZbvea{k}BrHptvuJ8&2f7Coz#HwwlZyqAC{9tJxmCW@9H*hKfpAP=(J=9*wn`}@ z#sVa5fIDOo$VR6hTBHk4nx@~~xr%UUhuRStSo794J@)8Bw7?oIANjmN$|Z)n+m$wI zEago3Dy!~O5B9h4s)3b}-K>;>-bsWf(H6z#xbPf<2?O@tO}F}^jy+BfKl7Ygm_WEQ zaLyS(s2odQ_(-A z0kA%Im9|z^P=Zl_5h=&Oh86`f7I_pA0iGO_N7dxXlby~a*0i{X2tyx)B;UAkR$svy z{Kx(2W3om3lz#vt&j`2V?ZvJxvP3Mfe-?-U;{MqwverRbz-mJ+OEkg_J2H;^kk7i5 zz#V1)>w!rbKy}6sL86&~&W)!PGPRRFJPQAy5;F?P54km9plJgiq?&$XP0%YZJvLQ~ z>ju>8p*+D&sRZNh#y2)IuoA|k8-wu->neAuiTw@Y;RFEU^r1dw^>r#tk$F<_I5k1U z@j_H>cDz`|S852W`dZb9I?Wb5k67y^IPpXVS;~9DN$RK2-+PUjvQo z0&S;MAk@&Ojul%G6F*8p$Uv4EGcU;qCTo_hplqT@3CVxvcf4Rj%i zw#xyqmlrP32^U|aXJ)U`v~eS-;T=>gxwd9H&HVWf52}D%?(VWJc-q~xVM44NShSW_ zDJjWJJlv3JcrVPss_(P|`5=FI8=M7M?T01Owid}p|dwcmtC z0U~2{nn&19=q?IU4oC@zGPW{Mn;Hq!3u+06Wyzw*C&CkPj$}jN5Z-~C?c)ibmW-aF zgc#oa&3yGIkJBZ`jnVWB+VlFSsK=9_^M2hd5A}dO$eOuZ%bgIZKaLI-`4iM(8k!Ko zLO34Q*APTy@FlNEv3banqUIWs8$0E&Ns3QTmwNzNHo)asShTI=MW25e!VaKC&5$lQ z??i_%w7f4?iT)-A^AMq8K$LCr^HQ{(dd#{qivF2640iM?CeR?S)!We{koFOfZ)kmG zb{H@eFpwSoT|$1ewGWRl=+(})9_3O#(Yy;#MIEYQTaT(YyotVdthViCvH_upXt4S^ zDs;igMA>;~Ei3%Bl8Jrjzk;u6kvK;x&+RP)Zal!zA>KgR2rDJCu!(DKPcxV87u2eoU# z92K4aB@F`5pFZc4%FD~u%tH_59)~ps0*$dmoolqqWKL39Rmqb9i3V>t0j78>>Oban z_EW>^M{70Ab1|-j#?82%v3>|a1MS!g+eem&1rE>x5kNdZgGE+!p!tdw-g7Czwz!+O0mV{ z`>bPzL#qdEjW}VfQP&W1Zw!Fe+tBgaK*)Yyq7rCO3rfj5s@Q2c^~UOQ&1t2L7|nc7 zxZpD|MPn37$fL9&Mz7rSOU^L?CTW+xOnhYV6ptS0UO<_kB_4_qZ-^n{jdGrZ z6~p8$U*1|txOA^xz4Rmc8D`6sfNhuz*wJlbU#qCdW+)59D{c7kPbcSNV$^=_4WH+pv{`KtO`2)7DEu3di$d_?XY7t zi3ZK+JDz7BM4lZK;PwRh6f20TTr64gLI>aFOV<0 zMLX6t1J=~sHPGpzW7x-_cK{Ixt^+lh5Eo;qF!MeK3BBSg zDw9@3QOJ!Y#!y7QXSEc zR&s|ODJG8xaj{2f9HF3fiHEr6XqsMx5 z%IHKU6UEvKxu+~{h8}(FS-eUJN`%4(V6Ix(%I7cs3?(Kg6EPX!@(C5EIg)W!PiT*c zl~;N27?a5{c2RimVgeFFo|Rw%?9~CDA@a{d)QjR38qsPi{VLD;>=&>xFv|V0dPlR9 z+q_GfH#3uRt|{^(Vu1s(Km-sE#9EPc-`xU?Y`f@E(nx`Pm;uT1Qr2V7dfOd7Y9wAo z3*-#s`zYkDu7o9t=NMw(%%D_ZsI|rMQ2P3y&@=P*0*qcjwF4c?E~`tU{306V8Y}2G zpp7P+Xuz;;=XT{!{tOzS2{>k*3l}LrB~f2YZ&3?^(K{1e+D;F}et_3SUWzhv zx@CAZ+25{_t(ys1HY+0|TiezT>TpT}8tQwscK+}6g$cAQLt!Z~cZ%v$CusNf)q1m% zk`n+l3W>957_A-TKZC?%-4;r2qs3LAi5`vgQH)L0(=Wb+hgj@*Jtjn8q)CUd$z-6v ze6JGn3eh`lqp>m`a%QgDnvrh{5vGibLl?DP-}u_Ky8mSw?Cu2A;_$*@#f}(}su4xS z8WY>4Yc4*6dcZYkh$cIe2vevTz`KegyxE8MWu0ll0YC`%)V!`kW+I~&sHX+iMY{Qc zH}v9c>K6%5wzT#^(5X_oH&&bXH0i;4D-=sF%Ipz2+VR#_U2@rHHGlqm2e|B+aC3fx zc#};qMKd!})XFs^Cg8~f#~E2^Gy~sDXqQL;8mAU6jY8EZ754EU&%hAg;!QvPp}zLL z8vsBiCG4*cyTy{j3^PHpoM$^qM{(4Jy1CDhC1QaCwLk|f) z9fKfqH-kBy%n5zP6SCV4o6s6OZ+L5+c0nGAjip;XuA>RJ$G|A`QTxW`3~J;TVHsL0 zCkx~CP}?_PY)vTq<{4P|hA|Oiy7A%?V>F(YQR9oFQ9H?C^c>P#tM=$c>eZUl&>ki1 z=Yl9w)zrawOYym*j!PGX?^an~rG9wx%^Evq6bw_77#q^08Amy_hV^x|`r|$K z%F|!Lwmn)#_%s^e4iUbc?rT&^XSvGB8UF6~ztgz!W1M1ea|u8Dr7!BUI440sWX_z~ zdi*aBsVTNxD{1N*>r0@2VjC(qu@GtS6ni0u5HgOZRZ}ZOqAy>1Aw~HWdTnhvVZQ_o zQW6mjU=2VFa&!Fw%1IK_vIw`L2(xRa;_`CTS68oe7`5}BoTcx6?HUL~MpVLv#zAN6A?dR-lGuHh7D`p8Q1T06EP1MK>|OTu3Uv< zZ!2qvqNZ`=*vW)0>#6yy#d~8yk{YY7q+~t6yF*W{q1y(eqvUvm#e=x4fc@M}8}$5& zWz=PIV=bYKH|Ml+!_GzwaukQ0FoF?<%=sPm!daWYVVmL++C(VG`au9YhIL+Fzeedu z+U=_E!ZYmCtl!>E`A899n&~iOAAayJ`t_{e0@il^wx`GvvB3UYAOeW{Z>z|f?`Z)B zH1a;Zbciq+G)6ca6LNtRs#`&>i+v4R`jyjsL>Q@dpK9q5(kvq z*CY!0^ztJQE7?S?Iky0y1$uCP4EkJThnQr9q5|D1UdADl4i1wisRfty`5o?l6rRQ>rCfD&aDPm4XLlB%ynrd{W0B zI+>#T2It;jdJPQm0q1U|B4*|R?o*I!vPsMU09jSBTPd+#HFo2<<1wFg+!P&KlBzlL zUspWq1hVW_29-QIg=5ap;?=8k=W5kg3CN^Mqc*r+3pAiU1)U$u3IrcN2F>iQ;aD{s*nI)G$OIEsk_HYW<< z*q%_g$W>?F|BUYa`K?TV2-owZM3#sJ_SXUtK-^zTMb>*y3s^lE4u+B_5$MK4w2{?- z#ykV_z)W_{B-DK5&pgchjX7vbKSkbNND$To(dn^=cN1c84HGhTluX#Cp{8YtjG@Wy z*e4(dfCVi_)kmEm;MWNYvb3aBQ}G}+dk58FgCQQM0ij8K#Z&D#BG5?pm3Gbg&F>jU zqv@{FN$CNdFX+j4C>`5P5s4Gy%=nLOF2QTtTS-^;3#n50qhecH6XLNC1XiV_I_ zwUZ;PXWMiN#;rG>Eq2MB))tBL8#{WmPCx%VJ@Y8wNq3B9&LSEB3FBP`<2g5Sd)|KP z4ilmY+0xe!uP`AlPt{#@^1%=dqoU(W#51)JH2*9oXKdS`hdzT@6y-8M>ID57^Wp&3776sj}*Dun1WRMn{I<40*UB%&9d=~Ocy*VonSlx#FNx9RXB zKA|6c>qdR@s@vsCAEk2-DTPQx%_(l6d59^r{W|M}!?d}qTxE@&Y753`@w%=0&c(+O z67R;oZ_(Ook}kjcYightG&e3wOK6pqI?}IiUiN8S`P&yX#6+TxzLNGV*yJk=;VOj6 zPRO4mPb$#@7H7g`&q`#8SYSUb5CO#fG*o1@_p$&3HUqN>G7kVuwpeJ>!kzKV{6m0S zeM6lJi$*Yj;ql=8*jQy!Xg=~qL)57mb;Nu$a|jJ94N!WFMB#Ww(Ci6A4pN2?GObVs zRx8vtU>zbKXCUE)c#;elA9vUUjZvx|*hVvI!fs&z!90i%Aho@wOke!QHx!?2d8t9C z2VV!EmWi~RY34c$$2YIE8{n{(P+$GZS9Rsrzp1ELw<;^kb^Z6hr~DCltTEttwds^y zn8ctlYn_%??8FprY*NsNJx)I5WWDm@^XhM<(4BQckab)Dc#uvTe)3~(8VS2$V-DcO zS`B3#t)#*z& zAUMTC3hL(C8o_KMA^3Fd>)eZH^$VRi3yk84>V9P&l zAXJI~jYdr=QCU;3US3|Iv(G&RLQROAVT*d-+MqezjVc&Zq*(ejKDwt>1*K#3D7BQ+ zc|KauN^SC_(BkU_ot)Dte_FrB>bb7w>9;2q3ba2nz}f0I_7a4 zckHPS7#eB9!i;_796=EZ!3iVspOps~qtNnN_?r&MSjg43wK5Cqk2t`#rfcdYJZfP* zB5wc}p;)|}%#2iBaN(JBp%|_9TYsbH>dAjGI#b;U5XUM#BUfjhdKMr-c$fWKTd6oa zJ@fKxF+ek5vk-1uTbpuVa@w(vIqoA9cYBTrU3*8$Tqs@Dk(_Co?fJQR;C2m==Za!OKy=4o60wLhfk z`PtgjwnYQzl)9qh2%!R6F>K$144dWQP|t}TN%4O9Ztdvw>zY5$RW#CX3%5S2c*MbD zVAeXJYXHjn28OZ4DuBlYD|hjtWlAVLk``0Fbc!g@j>-m|oG*Rj;`1nF?9jSRM%gZjvYfdyjO3n+dyvEr9_%A zhbXOhe&HI0Vx!PfP1R+z<7$Hp^Vdyp;oURAK{9SvXOt>}*;=`=7il+XN7rsOMyF}& zQAg>-8IyJIFJ4qiUIwkUN_6y*hiLZ3TD)uYP|;4cu&E1M1Sw&`twvM)?P1Nq!Uz~1 z*mwBjy>EMeZ6`U$L{Z@G=dPE&*=4dhXy#-qQ~g+sSKVco^UdB{(3@+9S$J$ zLYgs+(m@nREI{gp4QX_J4<6qj9-*5-&eT+588ofbqPZEh6Vz%9Bn?1!@80QQ{@YZfH0fBe`H9xO_kYobzI4rAXAzbrnEIexc z_pDKHa&oeBt_FtAH6Up=rl>?(v;^==!F!^vj}Wc_aWB`!X*k|yH|J?yr|qwxpg=2E zu5@I_OkE|Hefd#;>8Y%en}OYnY?=X|i-Pqa0Olh16@Xw8Vq)NenBpd8Sz4H*&z*Xh z%8wpJsRslXbG#{$aFNTi#=Ty`knK!1EFA4UZKlF7ER%9mHI>dE7E%tNgyUk;U`>co zg~Pk^;bj{rGC7DbUpxxU(E+W8wHh4g(ace!)ZJ9ByYBr99%u_p-aKt-3DQj?U6W^& zst^`v2(K|r=rb-F&p+0sl!OfBVACG%XLeSWni?7btm70Nj}~i9GuKZ(keW)YM5G{@ zYbJ`oGvR|JeE1IjqfI_BqIaY++L?v8dQn3GfuEgPfobFKaabs8guAOWhSK} zsn>}uGBq+gTTjnkq@n%}ef5mb=#6$mOJg4G+*oSmv2bOISSGDitq z@2{U)q!_M4gpl2cc83s0n3$JMPs}!jX+Jfm3NjF?JdM5#&28w__~dRKOAa;GLkJk7 z^m42vdwNylFI5Op_`^%y4t;+J&wS(%|6LY{0OEhw{rrz!jgc}ehZvxKZ3u9)K|6*V z(Exzph)2_Gx09mrK_kMzT(q#HDYBUIiXX4bjptyo)qYck7Ej>*ggyN#SA+?aJ3OenF*dw_n111ZR_776V0WkUv ztS$Ehc$t@HAeWw*?vQHCQ%p@sRdYwP6ZTAmEYk&Ghai}=hFTpxO=Dkjm5v9wFH9N_ z^VD8%+qMl5DpofGyyfGns;ZeJkh|lWVzcoCD{J(}UwlJrw(QU!URkd$us|?H3*%k7 z?IPSxp{fIc56`Jr9oRK3iw+qJw(7*w55setp*hPpY2EG?I&lOPjizddZXR%YRG2qH>jAhE zkWo8o{Aj|M zu*My9@W|KXQE9sU7vIt4mt98P;aBz0@0Vy}Pb`xq8ag*^)29zVM)?U;w{q`Wx!>)L zEh@mfewr3lyQ5OgB#|XzfrGL@1P~9(Y>|!L$pY3}&%&8Q7TP298HH!)#Y?op>JF&& ztDxhv20#e0gfY-ON&~%qgucyNGg-D|22?M3A!D3+AxfBPim^T!ml>Qx+7qZ$EX{Sh z2|*^(%+^Q9ZDi?ar%>M&Xi+*fX%!WF7|f4U8YG*jcG@4sCEKX(cyqnv-@F`yq71@^ zcxXt1b>gl1sRwLCV$51grOX` zXnp2ecc_l*iY8y^>jwDn4z0Yz>N9PMVA6CUN?Cf^njG5%*v<`8HFl^|OIEMLmWXi! z9APFO*91PJZQFO~2j93*cl_lEZ6^0uQe3L#o0g~~3y-S~7VMaO)zmhrvaLlWbgl0L z#9vvo$YH`7uo!WP8H~n^CdXK@OPx##JV@DDtE?SpDR|1unb7bXjnOrmw`ElM~B#2IHw(eF^LAIK)X2; zBLMWad;X}JL6>g0e;GBYgoL?QgI!Jf@j1uq)FWpqDGjl6$}KE(UBe`4`_@hRLQ%hN zoNYv;Z0GT`kh<9{vP3MfzZQr9;{IAHvfg`I0OyDy2$?p@8G7(e3~UT6tiI5|t)Zh< zOP9=p0D~4MA2pLXL<0 znNuRbzJufxt$uFC%tO@MGpLHaH9F~}lOdhJL66r*`-XvenyJ%zbm5r3Y1>t=bhseQY~^|YtEv6;F@uPu4l#r9?QG6LNqEm0DN2Ay za>a!+pzIpN1Dssf5Fplv82AO}T%euwm%QTg^YPSar(~hrDW&S8aWB3fo}x?RMn^kB z>;0_XM~&n;G!J$$F|nS4&4?O2^29b1RV@9WGI@u%m?-Lu_YYYTH|3zY%c^0Nf0C4zUK%i$n6vG&Nm(Zsi45gr5Qt z#YLRr#tTa73aaR zA@sNbSL+rZx^Z`rg(O*K^vzIdgb{rbvPm+9qK=i>$Ukzb<} zgzbz_(?$Nu$^cxHMg*uIj3umT4Ar%(*J{ItH45iWQy4+>Mg+UNIkzu<^&7h3#y`>s znG%)U4Ald^3)gJc`4?WGTmL!_Z!}(Q6v9u;j?w6%Y%N4^yty@`M0zwjWSM5dw}5@ z)=$vfUklUjtZ!FuoL|R&@g@zRsuSaa=!9xb6YN=6G^|?NFB2dGZ3~%`80Ow^O!A?2 zSdf{#E-waQ#M-?^K%H;_=R-7Lu$%26)Syx{1Os@GaCTI3mI@~w#^J34hxF%#ZvlK< zQ?N@t+!G6r$1|ZY_A;mLOu!5n^9pid$-cz_d2;ZOhZmck*}{sEC1Qd7us{S5_rpk$ zRrbdM47P;zg5Nbp@jwfQFSX=rb)^t-pXtG|z`x;Ul1M879N>oJNtSB={e|dJ1 zzBQXrC;buwgx8`?It?OAXJ@@uE?=t7!A_NyPH<%8tb;6@VfFI%*@pzbv z-QUl(X*qsXlquSxSUZ;zBacOY0#6V*?&5X^2J0+6Ly8cv25Nb|2S- z$7On`Ih0AXw5uR9nMT5+HAIW4!Fu#Y*)DNxlBSL?(IAp_F33FR1L`5veO$0(uR3n3 z&Y`aFFL+mtZ39XhTY~J}aLI{>0)MwF4UhyN{3zLQ952VVR#+}PYMX3$4Wt485Z?Kk zomIN+2UinvRuOLqgFG17E&nYirI6Cud}#1La{`ogDl+@vwO=8pSy#tFyjkI&D8 zyxOQa_uZy7OE>7;(~i<1SKPoelcYrYWZrfCg?Q?ez_fJf>U&;M2j2B{pZbJ0ELyI6 zfBAh~|L3Rmr61j<+4uk6X)JBsEeznTvxS?y@akHgg_v~S+Xsa9I|7FGeTgjlXMqSH z?w_3^Ywd>xOad)Hm@p$d%gNPw@f3Z$XDm=FqevhOnhx=0kdUX1Q|5>`3*%Ohkf(Wn z<}q4xSKHP=&q^>1EW7Zm%pWk)j^h`Yw*wfu7~lKS@L>fa-br-Oxalvu^t&|x zDPBbwfo`w;#;ddf{MPm+-7)KSefr$bAq|Gdf^Mb(wl|tC?Sy)*3?zrzx%F#S>#kW3 zQ_j((31cTw9~T5v{m$QSELy-eE&BR5zO8IPzOkuJDe37>h}d*Y_g2?v>C)wzH*Yrk z8&En@an@*efL0G7JBP*wt)>z20G;MzD8>)KaIM{0rk7UcY1NudsIDYwQ6pf;1YuM` zmhOLTwW>OMwKWu{A@Y|ET#spX_fXOign1eTN#?MUB;D}&QvqIMwfea(3};@OIb`Pa znRku#V(DP<-QPc^*UOvvY)BU$JyAj0WIeTV4=XWQ;hx#zg|X}PBq_RR6lACd9WiFI zwr?rJ6Qj$;AH!}nW9Q#I^^#6F;S{~PU>W+Wbnxg3DmF1zn;NU>Xu{Csp|l2jKQQY$ zedq3{$QGe^002M$NkllPu)h$L_M_Z87JvvFtKa_S9?ByU>EqY07hiZmKmEne$Y*vc3Gz;IVj85LB=V}1dvG3M7?-1_PE%_| zwGv#kZ(=(?b&I3PqkZA@6I8!#v+BALH0VP(0IKJaF(F<4nG^JjdmdFgBH&hp-bUE? zo1Z*J-#qJZo&JqqYg=cK5{3j#A35Gp$0@68RDD&Irc9b7FJA4=y{)3+Q4>*uiRWJA z=b*t_)uu`LIoh^ylP>@IrFwcpjeKr8j|8H1(b#C+b=?J;zjl|dx_2HS-2yFNwow}n zpQcl1o~$eHx{GUqums5d>d}Sj##{3fCe28~6ZcSdgQxnHUq7SD`U+i*S`C3w?rQ?% zogRJZ*H5Vrs%l&sqUNwuJ*h=R3cB>wdtTKgXB?^xb(GiOF-PNZ{`rNqdiI4k)a*;u zo3qHn`qFjf6HD~e!UekM6O*0E#^j?uq2DcNP;EQN&w2PbCueaSMQ-{&d>no^@-t$A zceFqR5Z}=>kq-{k0!Ex6U^mQA#2c`|GM4V~#v%;>dOa{0S1w;hK9O)Lo{N2MEI}^> z4s&EoT-&@p3#EDi#?ae&Df1R>RI_j?kZaUb+C0(iz`|vCeFmzQxBB+A-%>9*Jx>a` zx==qIGN@;ClY3NMWmH_jlEx*tyL)hV_h3PSySuwfaEIU)Bn%QPxNCsm4#C}F@WE#% z@0~qo_ivxMJ$<`wRaaNl_m!on4u#PI^jE)5#<0){?id?fq}0|D^S5UMm#F>Ox*Scw zaq0-gVq@Lp7fl9KwK2X4*y`{|!EZ5yKEnb|tKG6|KESUj(!uix#wNM=wd)mY&fSVS zhVI2<-j{RpYT^KNk!9#rJTz=E=SyNr03nUfwJqX$#8+37>_*h&S}o&I)=EXpgg!v` zo$Pv>V|x-*Xf=Ffx>P#HMd5K(nS)LDPeXfBz&^BYcHgK(*rD8HkW&slE~Rba^CZ$h zy{bmy%=PY%Y95ap-;K9dQasE|QAg!s;^P8c1;%+Ly?A7X>Q)|s`x438 zYB+qLTJ1BvC^O0oY2tkP^z`C5nWWXc-hMm$csowF&~5hM-Qm=-*_hm{HVTwzm97o; zQV{OV#6y%jU5fO@NV)jyK)aCLscq2h&R^d)v^ZHLO3ta$I-}r(r0maCNcHUc5*~+J! zqi6<_uSV)D62p^{QX{xnEFv>5*CPRMNR+`{K=6z2y%rj=9n2L9HXFC8lA>aih2$H# zaY+UhVf=jbf;M6Ooj&=jR3TJ_Uj#zRtKty)5+jzd4#g9@T(D*xr+M7kjg&9n@Fy>W z$8>5`8X)2IaMA%HoHGC7`1V8|I!D`RSo?{xxP;i`S=?`fb4?xlSpUJrP-aWwzVt`x z9j(@$+fw0zE*oJMC5#|~!MkS~2sy^c_N2yZL1yAeF00R!<$WijX>&>Mr*va!27;Zj z=t2XeSSwDiq&HGT7bG=-n259MQpGqMnSSyeaeZuPO3r${PoF;AukW_sq(+d^uG@z% zh}pBUcb|(m88oV5h`o}n>jerS30puQVGE{RLZ)7ux63xy9IRz0+B*yE_CEK?`>|6$0-(swXxcn~>l=9wU;95iEjN94N2H_Gixal|Sz2$ghA7HuUs=8A;A33s z(E~E*gD2UQ+sm%(sFFh#q%mDW7zIiSg|Bc?i>vqc-mr+i9#`k~HtPTWQL5G4YOE7{ z$XH+~)7DXCjB;3~qdEuadKPg10yO+CYQS&1mf8K$K=a4`xz=x+a{Egoli3o#I)^`T zMshykGdMpKqm^ehmxDfeT)!Un%=XpD5kjdw&uKE8IYcKfAI5zWeO$%wQWkM61h{I2 z-ry1|d(?8~^Yf6mG~{Y!StoE!)rFP3#Y<4j#jw2C{X19y#njc^t?)l5`UY}kZlU-? zv95l725`#ulO7P@3vN)LzA6Lf>^R{#^fo_2J(U)%%B<0wo(ZpEz$6Vu^mbFgeU;iT zH1vfUX1OS>*utmT$gZ{H0hd%Tq2|gfRPcN-{l$iYFNeaRD~CphIR-!KPOkxoMif-Q z3JD>ZP-v3D;f`ec;8YOxhgX|xV2Y7h!ha&!C&srqPg_|s&w=jk8k!9tmR`MHlH~4nBl`nr_ zioF(}XbwIIzopDup|w&Fe>}{J+6fDoccCL??1D=OgzEH8Nr2{~kD|f?;pyT*4;&z+v@esXFUD z4o_6Fz5^rv&Fo=K^-Ly z_95#BO4!Hh_~cnZc^c%AHxD6z%+<_Ol1%V<5j=HhTZnhu&t?jIr0vNj^<-<~JiO*S z;>5+6`vaTp9IT-zepW5jS=W`yrSyd75M|SrsooTA1c@yexL@^s%*fbxJLTGdI(TJm{`3jt zV58UAW#>5wbuQfT(%{jU#^_?}1dN;{W)?4A%YC*UV-AEMCxopr}7WtqmNMp9Ud#@>x%$BgUz<+#QlYxYISB){C z?j9_L?e5&&WtQjNnl`9(=P;hu{;|MKAYnalqtm~!1!$|Kub$h{>Zq}sAnl8QeIG%f zQw8BU;Id<)%dUFbE2m1@o<&pZu66Z9NYEt~%-UyS#+%f>sl;$()Ctrt_b0)k3#B4~ zI+v8EXI{uj(|O-%*z;Ocj27PP4 zfR`1?oNtLq!_@-v2WM7KN2dtbo zxzRpTKuDI4Gjm4fRnRA%86I|a+AEEiCloZ0gP!nR?6hz;)tI~Q4F#D#Uf)Zj{`rjR zCnFplY5c-yl8v$AK*Hhqz~D_1p;WF!M4=N?6Rt$#L=6?qWImS-NrP%z zULG{Yyq<_|-1RJ)!`1b!k&`q|j~lH-X6mD5Nk7GVwja2(XI<&#)$F8oLK35d^{^bG z<9U>Cl=LaO2F2)>M@fWg2CVe-0182U2NKitsBYEx3iPwDVTdLWI$M=*K0J#U6~(kg zss!pEZR?g$`+`JqB3%O-JIe7Wkv2<_*VnLQgLy600D_+Y4}8=yn;kmQnPp5~W40N^ zo_oaM0(j>$s97cq8Sd=u1VLfAbSl$}znWtx?&PZrk18-)E^BO578e19+o5GDqb$9o zOcNokK!^Rt5F2O$9v_q@`Am#?m=RL$z^32v7{?VC-`FiR&|RlRLC0~-wF2Lrz&OnO ze7x!kn^kO5K{g@pB&X%ogWvr^L*waClgE*m{$Mcfw%=h3@!}Czvl{3qs54P%JB*cpeqpKH|HPf8@=qJKcvzFB>*r`Nqz~3cKIRev_^thF6ea!k}FmDIlF1Q zjE%OQaN{UcOACXfD$+|eMKVWdTfM5nEiQ8v4cwlWMrsu`9n!{0L~GqTdPWBo&5s$X zRFrv}VasWa@i2-j2uPY*vH7fFQv3D?{s6e16jYUbh#A)2lvI>pVn|Hk=lfxk z|69BXPcHegSx2kLTw}w1f(sOw>9N zplk4<1S=b)j74miRfu5r2^O7aNE%HL@+mVUrZ|i3QILDvEE-^0z9R~9j%gBZ*Kl4f zCB`!j0qEzEq(fDMg9}S{p8AII97!T|RBd^SQBz!&^4mSW739f}rlxSWYdJ@|SDiiN|?K|0z9j7X|v3xwsW!Qo@#x}x1zCBIe_Ot0He zM6+a6-tn9&n!)NUYr$BGd3kvXIW?!Hr>SIqF=%ySs2dv!O zF0I6q$!yMHN^q{BR2={FVNUFTG($TvwDeC*J@koxKnIQh*`-Ykl&^%0PS%%H$;YF< zse@eV_QxFP>O-qi(0Qv1tdnI9k_2RswEP75Fjv_a3{>iGR%LC+6lmvN0pjgTKSlPf z#{A6BM5-Y}@XUoJJ?z>lUIDyz14!_EnX~(0hxHuPC?$ItS(?X4@c~FXf7^9i6FDmI zq3QvU>OlrOvV-5uC@;_g5}YwUyOP)}Nwv7bd>1oNXCQ7Q5)!`j@PIRq6{Ng*1yW;o zZRi*gtIjc7tBmT5>LsQq4Fn(5fw}xT_9%o7WZ2c_yf@6}X6A+z*O+=ovwkE1iroDs zpO!OrfdjI;F;R|QN}TNr++RjwD30({uP23)Xw&R`dmEh>SKXXH12Ixs_OG1BKePpa zIjhMTb!AW-tdo;f)z#`WUYXjb=F84CHLI)oSl6|hPGnvoltr;MHl=@w6A4<<&sLiJ zSOwR2y3DmzYq|Y6ex)^p=jGK_&7F!AW>S`NQrd^~oMfH5_!_yMk~90W-B;8nD0iK_Ur2gUh8u~AN|$@)ot0}O?M;Tp#s5Mr4+`QY1+5n}6@js3p`7Z5XNf z_c+qA%^^9|s{-l{=S}Xk^4>gx2FAU`_|{2 z6m+`<5~MoEf_bOA{?hNt@5k)-Hj)#L`mw7>`1*)8O_3@YtF;zJPBCN*?)bC&ijI!l zt5UCX4wz4z(w&RC9a<`h1sO;~9`ApU{Xz{${eIbAtQ!>z;2@3U>+R!NAdh>eQ#4r* zm($r1ciza5%D;h&yGQD>~Hzp@N}biRV8O5Ko*>Law^8l^4l&) z{T5CjMBO`6NyQt=+lTB{luMik?MzR*m{^P^+f&h;v#DF^S{F>3!4lQT4C6HQ1?dJUfZEhPVXo z8q58gpjTOX?budSW>eG&2k zwnkWlzNK&1m>jwV(2khMocvGal(j`Dz>!M4h%pzd4`$XcVq+AjXM}qR{Da}Gii8PR zpF4HIaa*iQRPdFnD#()NfgNKc4^;yreJ;iyB6{$gq6Mt8MGn$3b-Ua5;fc4Byqn}| z-kpQ~ItPJsxOA((-Vkaj9`&!#e*2Spj?2&M?GPmDpPdrAzVRrMB1!5J;la^6JvBb! zwodhl-W=!t&1QK%xUwG_mYqC%zB(nvOoVc2WBxEEbUNGZt3FdZN0gJ@A4aFmmRJr` ztYYcfyh|)QyGml-Us?wN4~&i972w zTGb0S_T@W|a^VdjWx(Q?MaRlW2k&@0+x(A7uUcU|>eP*KwIo3TO;|Z76aEcB2nOfA zXz7|}Y*fJU>>v=^loZ3J4Jy=&hw;T6M(Qc=t)&x60*2@5_F)5Uc35op}B+?F@k|E zD&{Y+?~boA+mgW=&Qga{6KV;IMMbx~^D}+>F}v+of?I6NS-6%Jio9=&p2vWAt_++; zb*6?hruLL2{tm4*mC>Yn(-oTr(WQ|B&g4IKtdTw^``@8NfeKRX#pLq!tF#9b>7KXK z{@lZJu$-aR4l_kb(Fl3zVXJ$^3zYel-UD%>zR4u^qe>k(_ot^SQvyzw#KON_m4Dg4 zY}nU3gBD)lt8$?bb@T7E9ZMHXb#Aq%Fg|nC#qXg#YcR$D27@B}DhE62rkZ~(Z;ZF5 zPd8!14$N?Tx~)8V*+g5eLZbvhInS=F)Gl61$2dSbX5mjJ&}cp71(45|@Mo=vNV#NQ z96bL##4$;<5TZVl9Mflcjd`93bsC8)m*Hu0Ooa6vUTH>_=q+uE=Dy5VwjU8;k$9IE^`*u2{6 zut^Sy?C66##(ftWa(I^4*b%!24%h94f~`KNH^gYkIDS%zdym2v3Roo?7Ci{*hW+@& z!}i8S?OFIJcNzIgcWf*KpWiMP+JHi)hf@3ivqBVuzFoyM`C<2O(cksYADoJJJZxOl z7lIIG)tj|HP3;d|W<+p%qj{^hAg}#xxFEfVR8fAMZqFK|5G*3Pop+CJDS*z)3z#k} z2(ZS%Udy{!JvT?(;Y083XJ2crF&mS~L?lBxq^V~M0v^wHJe=

;^UFZO{n!A`zN5 zjk?eL^KK;b*naH@>-8aQLOG}V8D~z%4SSpwd+tUTy_KzEEuj|=?a~?A3d)hJ6mT$M zT2N`*RPbzbd^W@6AzQG0*x|`JV7(QQcEK3sOIzKxi>PrD?!ww6ZQ!joPzsH-7$Ubw z&xOm!((4FF{3?}&=5CRh?}1<;H%G}}wpafr46Pdt8=;Cklm)6!m)k7e1%-0e3Ceym zk!*gYprs&j`0!2laV|Rrffhk~YCsVkHqvR3cIN`K6qaRb*l{X^FO2YE;d}s<<;mY2 z-P@{s%={~z1C(Q7TDRSINAGK_sB_q#PRLNlj5q>6a1aJ`Xa4xe`Q7Bd^#5p3h3daR z5hM^s#liU6KRHfXa_A}`BjRY2$FedM#q1+u+t?hIbinNN#&{mxc}cq5uhpdm>wl|I zO4+BYQt4#cz6$+tuugNdNhFchyT7R$R2sJ5^J4mB#tx!%R4JMi{~}-7<=#h(;0GUc5ST`bUw?OO;M3 zIEqhtG(!_}LcyZ**renleJXI|$rD_gYBcVMts~b2hMr-45c}{pS z++DPt%`7v`wr{?NNANo=TOsTf;cc!#&|^#IoTSbBLcYoIFcW{-mmON^EZY?v?M$sj z>6{|XuD!>Yk<_9EMyRlRS}4{wM>V3XoF&#Sqg4bQFU^*1;v0!a5)ao)2bvDev-p0v zidbu3`%Y91!T_hb&4o!#5VM1nznj~g9I&jDaCfslIHIY=M1hlD6Lhyt|6x%gnhh;G zeVRkjJ}3DcqMF}PH-#-ilMHiq5u&*1*(B_^&6!SS?nrw0x>|5p-H{f)ai<8?p~XcJ zpp*)25(yLH8*ptmPCJ@BnERSMBz3!3baUUK*I9-LtO-I*IlPE=6@>&}{InIHXyjA_ zQna+TqTNONyn`+-EDOSlB38vfMy=hOt#9HzEpM+*e|?@^>dx{Xw!~gX2wGfJ$2WKL zCzf&bIzhv;e|GDjd zsyqJ!`W?1Ub=sVV;Zu0qldvjo9lhz-H=Q~cY|8kk{*ewFZ2JN_tDrA>PdGeHey0%Z zbd)*{XkR)z>T%g)%}o~Va-30J@=y0y6bF`&-@4%YiuyYj-nBRWpiyXDos&EnyN&;G ztA@S*V+Ztp&v0Aa3hic6ac8m|^Gepx*Ke>5)Vz$?lD8a>fv3iQMAGe}Cp$C(r;mWd z3bO>Q53%0&Zt6nTY_z$sCUb!usKANqtA`pJt4O3R+lz-FpiHnl%hirPq$G`^wj1mt z&&@t=F7vV*1McjWZAsGIrm=!<(@7~Vlg$&;0N@GcB&Wh}S`dRce+@+kRc7YtH6dlf zxs|*b9rJC|&hv4aPta^y3TD3Pp(-rbW|XTXhn~EzoPo!L(&>>SuffbVFNH^ntm3OR zo`@zJKAUQI4Etr^J9C+8ijX()ApA^fzO0wMhCs}d(4fqCs9iCo z!0cW$IGwu8B;m{LBq5Z|_BY{~-`E_sGIE(=-JsrOLW;;E)h$VI1yRg(-#q+q8GzGMpR=?)qy+^pP z(o4CXGlBbY9!=4W6o7}k*&CnK!5i-Vc4==fj4b?{Xr&Kf;MW|&`1ZCoT^%E@#gKPP zv2E_QgG>Xl85$Y)7>WwNt)l{(t_hwLZ3HAC@?-PgE~y87ET&ZU1`luK?`NggjnQqp zAv^BJy1CLGcDDS1`vmh6j}mLp} zkI~x=7CQ|2p^YGgUVqoy6XM12n2@Vv+SEm)|RSZ4%fTKk{@r?v(!}|nV!CeM_#PE6D&Q)+U)k6 zlBRA{2R9T88dW7AtL4$Us_ZF^FJ2l}lUqJ^9EB6#dr37syMU!AF??GRu`}Q9c>=D5 zi;m!Y)%5iK3f)d{FDxyUk5Ggdl97=${k|!#Tmx8*XP&HkOw*}!lW;z!>eo-qW`R2; z-w(dPBo~JP!4^PasN1SBP|ZhCwp8OI*&1aEyCGvgz!$$O+sHDWv8E$GffrwAY7qzxZ{EF>iexX|f21 z;NvnG^%Age5w5-1-QA7%GUtO_%G9MpYdpmC(=p$!szXuOVJ>^$hFohNZP|$eLrvSx zg17r^6lMs>i;GY%eC(!lMIKfx93*={U^^q z%A9`BKxFQfK?8D5_0h?1dF@`_)2I< zy;;)$R{|#u?tx>>bwg_HI3puJrV9{6__w%Ko>ml#hTi-D@F@7_z5iZm^68>gQ|!EK z4;>;7a*&Se9mG+-gw{9Xb1mvs%Wo6KJm8X$g2@1hGEQ+2GW9=j@bl6zuf z)%GE7NOcTmi1^*oAv>I+C$^?BzrKE^>}YpeCnWJuY`sCU;R6eEzI zs#u6Vrsf3|GrZqXyu-ci1%L%Le@GVr&F(u72D*w-l)-rm-$~DtVz?ScOfhD}dfjeL z;h5c8i_=Pz-Mm?ED+Ga~#v7=}@qQI8zFk#aTLOPpqV^?=oQ;Kr2me%QJ_92g8=o_g zck2Dan#&#q_;G}D(TO50FWOLu+;*+9WT^E4Yy6Mk+*|vx7@g5IhNPkZyu-F?; z933C$#;?N=f35d>9T9(TNDV%g!luDmT&qy(-3~`_ynno}3rUnG_k)9nhmV@s@YxD# z+fP%Pc>5hskjF>s^?YUmjytREoN8jF?)ErR4ZN>5`O$Z(f4j9})|HsKIqoiz2VP0;34{m`;F}b&v8?joFnfe< zZGb$Qyh%kRzx}>=eY!=P#p2AD7zeVufpX94dN(mqv3CEl%Nu0Z{z)~tKJSg~)^boghUekqd%IDEx5ah79v9xo ztni|@f7czifM@tD4B86mtz6dMUVV7pJH{M(5B)ViY=aK-t3@O+=6x%Hd6oafDebS# zH7%O1Y7n&K+_Sf3zV$Vd(&!cUwSEyw_0^TEoKXVtYB8Zbx-Vy0w5jY=bx@Y>?+sfe$qc%f#!DQ!LbJ zPn$w4W-@Q=AP$I*^AJZ(#7yQJb=U6UK1^fAT3#$;LGbg5f|3%qQ}Bg@3Ab4K?s{SH zv)y3(>SvE#ZI|`~{6VKGH7>^V#TVXXLyKU4IcjwSfPe_rs`crvRjjDfD$m~Bk82}{ zs~m+n<_in~zZ7BRS=-oABO-Kc;NeA+vEpqw2WLCS zX`>s+@soS^?xVXW`z&|nCFx$4^|rgyE$=mPueLRe6wjDSC~G8x%-84F2|8U)u8r#~ zr-ByeTa7D!0-1}EW`YLM_|IJ`R*jAx+>*V)n{SWrBEWP+6Zg)>IG3TzLjxghj&=;x)Dc0z^0nRGU@$l)s zq6y{UyAnL8dt6m_O4kx;iQ$i(C`qKp&oUH)f0lX&W24nt+yZmoh2XB|C4iRx+Ny`& z&ZMy%^7kdS;iCH-5wuRX-zSWA7a;@Rizp%PS};u0d;%;qB8)sn*f~q)0r4g5u$*fe zY}k7^~JFz_y--E-~m zyOE|$t@W0q@bVCOLOe{^{u&v&=j}GolluY-jQE~z>Az`N;CWO&z`%yojk1y-*ABpQ85;MN&^=Or@&cMt{!G3;=-?<+Ja*2DY? z8LInSjB_Fpvclt8!KWv3?sPQVAl*k5GlJf!d8sHnmO_y_NL2_|mpOGok46+6C`|H4 z;yT##6L6Dg-?cz*V3gfd3*Wyik2>`=&!S}gwa}*b<=7$tj+^m--PdNjHH>qebETJ} zG;_vpo%R_Hk}3&_0f5b3F~U-f-*BKaq$kYM*xz1j^*eA4j%R99thb(~d?qrDA`sBH zdi?9UZa(x3Rd5P8;QPEDhaGeFi4V3zd7ArZ0RJtr8)H7bMQ-H$nbzOSq%AG~&*q>9 z#~UXu0c-$xAKmmmx(|olf8F2TK8ti0&vU4d%Z)ntl^Gk$J?0+O(WhrRu?@|nzqzdq z0N{A#io1vUpmcf~?`V>9 zQ7`POyz>_rFkO+%i$d?=elF|{2L)msBO_&|cPLd7jr6`~+&!hI=O~EBrWCf~X)^F* z|79j6jIhlW^GTzvrHdo`~ySUyfAi-<6Wp$lYl1S1RL>H!mF80Q}ekDYpuW<%h7-{6^!ieY40t z0S%IXsy#U9VIIpNa}M2Ix6{!1b0)N~K_%qL`b7FE?A!<_w@1X%0Y+YtUvM|ybNw#{ z9_Go#?S50-=6gn^D_l(pow4AMUqW1O-UeAbpk`PL-oS+72qFx6v~S?{Cb;I5W^r15 z7$kmPfS-&E)1bu@M|sIc3+tc%<;Mt5=%7g1_R^}Klww}6!w7O7uFitu2nGzgq)2eR zkG?nMw!}6PCKBLI9pR_Jhl*TbDZ!)6g+haCh!^h;t|bIeD?bko_fy3Hb|>71AzF}AT*?gAq_x)tPAcL31*Nh^yXBoYQ1tPtd9yJ zmt86-H#RaP0Zaim$`n<$hRPoyFMG?0co;|NE&;c0ASb~i-y4ZOteip-;MhqeY}hm@ zT>0$wO{*G|&8E9d2*@}hKE}|Z9nituElDb;kFR4{gU$>^10U>JKu+?Jvv+UZjJBsKWTp zzrmjz(IUbyAj8#mLbdsOgT1sl5wvR%th;{i(U6xG7V2Y^DFGLKU|d*EPioVBen~-e zgyAgjrR)u#>Kn#xE^ps*@8;h8($BvauIZu!BY#B1Ffp%Brg3Ky@fI&r@4jj;uM`D& ztezSm37ku94S4kwk|FsnrfnvcJt-^Q6?gPhh2Hzz9{_J==2eWyS5EI`1W*%IrB;L z&!zwMiG!#8zqk4>PRSAY=xP{n(fl^M;O4Zq-23iT)Dm)fyAt%FCxW@Pni~sW$*}9z z^YU$e{$aI~9w-t}TYB2G{4wOX`SNcd*+sM9advd&<6)2S-$ z(%Sb9^YdELX@i&F(chzsYZ|YQ5tV?KvD?e)F*5%eu20eAPK7?*4|*GoM-M$od@kTd zA+ujr=j|Z%BmXJIfAY#-$8?n`FWP-WR{R)SiY@gG)nr6kkR?NyM{U|qywt&9>TvDZ zOKSu2ctJtI`sNJq`-7uj#$pg%wT^3^SjWzYjg#nU`jivVZRj$EFx#5Xts%k4>00Cz zTT*vGm;bx&aE+LM>zmz3O>nT~`o`WD;b@XpCms1bWpehrsQY{$qBR>7f|rD(ax_di zF+j-^^KlG|8u%k1r09Ne5?Xf+vQ4V|&=1rdw?;S0Y>>_ZDa zE*{>_{j!O?zrRp!ULF=Xd6JH?AH2!yF2SUigap*bGuWA>oyzg7>2maKz({GjKgB=8 zgOIJiUm+g}h4BX2V^->isoN<~ur`wRvi~r(O&-8uqftf%vQexKMU64~BX6LlAM?q< zaG-iKS4hR|TFcmp&&iLhfrDLwje_&Wj{wW(!@YR+wrMG*D$JP!Dy|lC1G$k>qSmp$ zd<#7ny*FMpkV8x!f{Ycpbe6Jxu{~RCo^mqKSXy(c^GxQSrOSRh)p5mubZ`*=Sl3hW zlgY;Zs&Du(9{nA))m%YGXk83(^@Ppeo@}ZeGh2oxdV5=qr`A?xmwY|xZ}v@Wp>XO4 z2NgmERALWppE^btgI>fHO(a7Js$kf<8%HCdk0;p$1&Iw7BT_?>%cl{dRczdaN!~**a(e`qE~WF++=qpbZ>9?4 z0l=pvueSFP{63##5Ofz6aoVC7H0ui|O}0qkLRk6phV?v?W8qqAo?`u_E)->^b(+yi2qDm!!JV=GQ{3-WMm_+6n_$61A3Vg zePfw8SNA$wXM^$F*!=5^RK_l7|B`>|Ggk=EQj1HdU<-auY`m=7>q!vy|k!4NFIDs z7M58*jn*KL6%OtROqzaiNBvYj<-EHiZ52h-s>)|0uhK|fw*%wquZ=i|;)LP7{s zR8-c5F&<68X{tE?JOJdjwEy%iNnrG-FyQk0%Xk*M__00#fuU=^{7rsBPbB0-O2+Pq z#+HtiS~GGwrtM!*6IJMBl!3EL)4_HV7AO)Ke&;&OpFUyM5Tu)ylu?Nt%3#=N)jjRI zFFvBKEhoRtKdQdFi`-Si5*0fW{FPj;qB)~!18R0!^N9W$13ve7Py#L3y^PR|RC9In zI<&FqS65e0#+ls8 z4b5P?p)60Ys-uj)p_EUrZWmk^^8E9J)Vn^8S0c^ox#fwuj(;JO#S7AqQFu^LINZAg zDFXZ}79IxOyEkS1$L8M#4+6vksPhe`raReB1H4oci6W3n@YTY?!cu;JH=UiC3H|<^ z(xc|r{r&x+$RiGMIq9l(U|^Tsyf9dI%Id zB~t|>yR^Rlu%yb;f)BDuPMv0^&C z8C1;|_~fVCBS?hZtciuxI_5X`v{?N0-muv#qyx8z+#-agF(^yyZobT@)0>i&br4e^ zNEi~@82<6Ss%lKjz*s$LZ0ut~IaX{BpsP#de7zk9@)^~J<-{E43)X2)dn2RiMohMX z7UtFVo?U|H`>u4K>8V-6k0DNAG$d3WBpdCpgFCv6=pYDExPWFnEWWZx&mR0wdOCzBo&8P!@f5JNBSR%p1l?cBWSa03rtKQj8p?v5a$Mm>YxQf0AH$Qw8&DQgu; z)ap|JWHX4$Jfx41S!{W(7W>ig~9Bz1lHaZKXr@z!4CI)+Xd09jM5c#pRWPKR0nRoNCnt4{4Y`-#% zr`)L1=X-HOFgV42l+$49bB@sa;>{UVjX-!fp`ud1}$?UdvxeAgsV zDT(+5hN8eZLg1b5Hjd@$lOsjt&!0om7^0SeOiqQOA6u#p-yi`%$4tRbA1DJUqojIq zSOwv(88>6-5FR3yJA!O%S(w>7?pl{Toee%DV~uJf(V z^!>RGn0vrrP{6wHVC&=uzy7W#LqQ!vvIXGfn`l*gNzZMx_`r1VGYWBA3irX+=j-I) zaEkR{02TJ;1-o7s+*Zf?n(uzG{Eqxktymzi1-NJ9174?m1Z{>;Y`qTOw}DzgM7!U` zDr>j4K=RV{H@Bc&Vg8M96Ywed>(LfQj*TY}KXX2s&fIM)D5NiOs{`3#>F2fcYJTgi zIa{H&o5}lFmfK(=zv*a7e-uZ+I+lJz(2F+>{>$Hu-aa3fZZ!DyJoS3K=uXpqn7}so z#b7Ds+U-Rh<3awOcNNEtj>vp1%1iLsTibmmF!wCz<(hTcN%Xt@ zn+2=Hc4*$5N;2)#4#f<0e-t7RfmxZ#kj?EqV+2eup{q zYVpL2fV=df*C9-q<{F{t?n?Tf0L~An*I#Nbj~<$P?_t`BZ;R>qcDm zO7@%6S~`6&W~FL*9QtIH!y^5I0%X0<_w3i8UN9`TO<_Mq zZ7SSL^5caIaA%+xw)gd5+HUS$%`%x-1qMvAB!}G>?RL+rvr7RI51pSDiJUr0e4iA#9?eQ}aZI)CHeLW<>B6P)L>$y_ypo%Ps z^xf&jeaplr*kd3t`r3CX2z={WXOTg*wDMolvmx4beY;=I+xVE4mX=`aw_IzKP<&0Z zm(CczTTo{4wgrAiblIo^1pz%+N1F*32Q=@y`+sl5v-iZX8dCnt(v0ZzK7Y|yoaQPC zTx5_c`@N>Zzwy;2aF1m8U=Nao7{&;`f=#b8h0cR;jIdGL%cBs+f_rZZfD+iDCY&*m zNN?bm5b*Sb3)YuruMMwdA_3>sCS>;RJM7Ki7pIt*%&e^2fbMBbrT#rg=;(K8vY7pX z1o-txJT^e&*%HWkf8P%Apl>h6 zCnUsK3i4Ca(4a>9%uj9&pMI%sFnx!4-2%T+;Irz=m~;I8rlP3W4{7?4q@Ev$!=NgG z(q42m{TeGF*IzLz1sWIe{DK@ACUr-D#9@fT<4ep%Ncjnxv?*~56>E214* zruz7C4AWcBoTwy$Y5Y7PXrbgi%AS!S!8A_3*=b z@c#h1Kt;dD_uwMo3Q)UD;o%V|-M7z-L-1L(Y89qUpVkc>5(BzaNH--Bt_WpJOf<`v zvW<9(PIpm%bk6>=GFTdzl!!=vs3Pu7P0fb;4Db)cNghzy9AN#1Jdskm`6}jJC_~(QF!VTZL9)JDI-*Dr%Z!juyBuf++O$9QHWbf3)jP^F0_Z0 z!iP3Kn>KG{L?RMI_Eq11E$8@F5`sVt{E#Hv@ho-5x7~J|S-?uKEa`~2IdP1#3DCko zL_(;FY)gXdp=k!50dbrT{q4>o54zIc98WRpqzKtT15@jkf&OP_sgKttVDP#k*JK2o`3#%({J_HrvLu;zlNyEpHc*Fx$YujD<0BZ6`{H725`s=@G%D0lpXm#7pi7q4|E~$a5r<9abgpkNoQdF_*-DM^^ zh4fz8qemexH`kQC=%Neo{Btju_HMrUCUS>Y;Saz6GonZojToxCarI3EM&i+^m?+%( z-CIl_)L$zSNzX_l5njX(!b3P)o9U>}amY7Ogz#c=q_4j|2O&gWs_&xDU5NWAwq3Jk zElxXq2IYNj{QIB(Ci3>jxN&2#H@^VOmo6ib{hH}T5OsXv{D>un)kh!>(VwekeE_Pj zxv`nV>~j3$?++MG`8r1D@9gZt7q7U&eE4t7dBfZn(rE8!Hv~i#3&~u0#pSr~_kZLH z_a&Tm+BBpjry6+b{-u{)LVe|vW}$N3SFcr;Nr4s^E3akSRR z!a-MGeKm^7v7UF{dHC7SerD$T;K74UP=F;%mY8o--c60mKas95~SE6jfgHTzX;sgaafpRi2KSnVFR2{MM*ql&2(^ zs1M>Yz2CdJ*-w9b*zkcF*H4X}jLit43sfR5`ssx?wJ=`OAxXL`=|}t-jK$CoOZhFR_$U$#H^-5nReO+s-Cq$b*02ev94wh9PtO1D5 zRmLXOBjh7zc;%~I)u(p5NO;7R$xqHhq&z0>nyi+4(&|xd6_C&+#3x`Dee7fuBf{@u z%qSs!(>4iX2Pd&$A_sMi!6vOf{fXQNEko?Yr3ulsF*>ovtMRK}TKBi{TnI5_3nqF; zDlcwXFqDo9+N$;|~^}zVmj*xrao_xby3my_x2_Y#Qm-;$y z-rGcm6AbdueHj@jO3A0SGF2U_|I;RkvJU0eK3SbUc;(d>aK`B~xyVQ~k8a+w8Cip} z_Uj4PtY2&3JM;82%)HvLVFOA_OATit_-Pz(z4exHPS-oq{C)2E=M1N#>tjiJmy)i0 zoZE#ALPQWWkB$V-AO97&|G)fZJ)6XMx-^3eM-oI*wj*{?;z}WZ&F$N7zuojp?T#2R zf(y1E8XWW5Yp)%#4trA)2cs}IR0 zo!bvmsOP{PPYEGtrFI*hp6BAegiQC}>qemMZJw2p@~yp<%8Bq;jzg1Fh}t`;zMhh; zp8?p+Z6SW|cI`^cwq~vRY`(;swbTWOn~IM1h$CBC4v2(R>7i{~0%rST`fe|HRQr|y zb+mg3mA&>VW=?HCbm3jE`srPdeXgs!KE0DeVPwmA_qU~cC2H^Ks5bSpB<(~ogRRr%pR7me0S`?!GL1OIHCj5`r} zbAt9k2umNLK2m*@;t+K$Bz>sZ^aLHkQFY6op~~XP89M3HK|s1viI0^G7Z6I<7K(3$ za5R4c$z4hUpgAO>>Bo7bq`0Ss{WVwJf4UhyPC+Km@=M|6*n}rB>i`+)&O6QrGYt01 zE*#YzNkAkT_Ix)AaG}5!o-+ErjJTa*Yr)6^7heM>yQmNxrB@dG42%w4iSCEffg7sF zK(;&UqeAWD3@E4h6Al6nK|G3AqL0+BWbFs54-~Xe)<>?@tB9k%N%QRqd+}pb^5pS8 zKTV1BOt9x}#HP|+{Kx}{6cs?)lr_(K>ajI=>QHSe!z&SEQ-}KH5${PvDMTS8phR@r zjVQ&LFhfq0?5iK|yE=(j{Oo;Z^R|$x?g{Bg!)1^OuO&XzC?p2;RHM<7v*K868TCd8 zPA2j)9`Zm!PpM@0HdTaAm#?mw*oMjla@=ZHJ(h8fn3cRiyP zO}(U0EN1H5wrxB0Hl-LnW-K;u-HgieQoK)>m+2G_ZrZROJ9lhCb3-$x(y}#Y_bzPP zx&e6wxd@1$Z)O5Hb4mmS4|PbQLdwe#6-570iG_Jt(_Dwjwnn(fWa%9Y2na`Z*a2e) zcBR9h%|9t{yUv@Ftx!%y8 zoPs&^K1Mw6?{XtHGMc(dit!m*ue`1r4ZhSv25`Rf%?uBYM+E;l$$`M(Am9+h!$Ibd zd=Ww=%NrVc=rs}62|j<1sjR_P-U;TwYL#yV8jRl=7#-Gs;ihzgHEO+X8F*XQHB zv~jp+^i_5`^~zCj-PhiLXZEh-oN{AWLb|cCt!S>{br%MN#vqK=&+Buyp{k?Syq_+L zMF;vtV()YB;+jh@!o9RA^zjQuLsK25jUSC|&%cPq78#at3&pY7B=#;m{`9j5;T+0L zi9-?%Gaml$bMOhKB`SHU^fLN|eM42(+Vv%$z|FF{jR3w}QOatw;@n}tKK0NttNxI@4p zh@bEkh!Bb>DtS9x+dNyHC3==X3OOs!{&Z~-{-I^OuT`H%zhY3oiD;v|@)j4ic$j?<=`fz|8Rp|+`(Mm%j86+W1jo-J7M;U?7A$+E18 z{$f5TEUrL332F<8mf-|QkbEi9Ya{Y%BSGzG4n|dVEsax16*zWrlFOFKKZ^Q6`Z@+s z95{EuVj{Xg1a}3YZeI-%Q3wrGLUGQ_v$2ZCI-x{zle0&mnFavG6{Xmjw*dh(;2Aq^ z0`k~aU|1mH>3$IzAy*O4;=_B~mZ)j$7Ct&x(mj>61WFO zn6%L+GnWgGP^@0Jh5BI^&BaR*Oug6g4^|RZohZyLF#dRN&RvY!x+YqsQbb7iiWgs) zOI>6$T4|gk?(&t_-(p`WDWGp>OOZbpJmv5qBF|16fsWj2Y$T^Nef&6NCk{bAEe3bz ztVbQ;IC2>GuN;Db|F;XXxo;QaL5%$vLGRauFJdB;ZHuNa_jm^72VH zW#t-RxX5^ASVt$hH2%hAjKhcTyo*VxgK*pB*I~tbB%1y~$UbK#O31N3xNHtKP^36% z=4lA>WAqjpT4<4BVQpb!k0NS1x3IPFuyC^Fly8&rWa_RmdN(?EanUd-eKh87T8wIn z^*%Rv3eFfZ9=SPtD2DXI%KWWZy=gV3Pn?C(iP_k=a~<5Y9QN}GKtp{aVcB9VX8mam z+)BbH@u+nP5KS%HN3NVOvhb`HY}g^=SWY0-)5%}ghUX{DLwzj$iXAhZ-l@$4m`I8ghcI3(32JXAdJbYN z7X}NK&qYd5IBq=c%Lr&=2(@Ywu)q$y_}&7%`qDG_-0Vy7=DTl_TV}))zjlsOA^CFo zp6H`0!x+w!@=8zWHoXtQp^gg#^;vM!yJ;qO=Wf&(Jonv%Q(Z0LSnNmxARP0SiH3g5r-Ygo2;E>#c_7<s4elwItBn39G{7bpqfI>;q;5-E{(!9|4B zE|no}xbbhBXfH)`?Odj}`nl1@qkb)Zw0NZ+s4J9yb#!p=3Z**E_L^FJdDgjbk(2Z%2b&TfiDm}$PLE8$c_d1{M22P66-Z7P zNCM)wf7})h8oz~+Ju1(_-@-_+6in^4+%PPB?Q~u7jLlE8!&=wh=~c#Knz-ZD$B$#FDG@p_6$F)zF>vI~D9Yc#Nj#+RsNw+ZSh_ zbw1u%I1d+(n~YJZnP{bGHX$k$ZS_@*fC8kp2Vq2fGI9#`ASTQcXn_y*VsaxoG!k34 zZN~7RXxuRDOk6T(EUH$nfoppX&Ir!H-@bf1LWtcre7Fi(QR#fg>=+4HC&$~~(MFKD zX}wFIM8c|#+;JBdTd9v7yMGa~+d)Z@lS zd^EaJF9OU{mFB}U&ya%iBRb5_mrn0*EL>>H%Uwc&&mVpCQLJ3K5_|XVWjx@2p@ST> zd7c{uJE{L5&`(RI{u@wVwxrdqTI~wyTCPRN+a_-gM(287WtHqb zJ*V?SuWcD2cYhML$_DC6D5jbj5Q=a*)fVLK2JtOFq(+n$?ZvFoV-VX({YlwAeBtv~ zV9n}P#MXOTunIwsZUE95QCEXQdBk8A~4CbIIwUO4D7fqJaui~f$j^2f{BhI zhTi4$j`Xvzv}N0AI>f}w+fx0^eV=njXZkQ>&mCt--8 zp0o-Xr;jAjCep5#uc#m5saLJ8sAfcwC^Xhr8`yP`V++iyRCzB zjQ{+pzYgHH(ZV?v5gBE4%yo4&^qFOT5RHp`g3N-Zsj&vN)bA!Id*)aN3FfAPZKQ{8 zWTs_e=E>puW%8iRn#F#-#m?k1~3Ya46VNPt#6s|XYzZLXkTH{{`}`Z(=e$PiFe%v zIwl@cfpgiH5O4_MF+f*{RY+OL)*@gbaf_I3l|sZ887og^EU~l%)gBLhMr|nB=XKQc zYBzu@Ww}WB%GiV4-kzLY$jK|gu#pqdR9B20J2&B#=c^Iv6GkJB3N%p1ByrzfhDWL> zuEx-;VTcZn#?I}Vky}`VX=A2h@bHm%>X}DK@O&|S>P&Bn%w{-}q4E(TJzGE+1Va7DI+)W6$=Dh^HfTTXhwY zR5*gj71eMxA4X2Cy{*oJ5G$I|#`3Kki=PlD$Eh(MI&SZUgJ5Ifrm{-9_I^frc5&ei zcjZe|ED>cG7Xl4L_{-jThmkfi`HN+=jg@%u`G?45q|va$A2l>!@+Bc_AYq#G=9?Hk zcnB8~G$`SMVDX0ckrFH{=S_I3)pP*mSjk2s6uh0jEZ_aQKU)TsDEc0w(s0(qz}VKOhkQk0k7Ahtfms- z2qA&5^2{+xByw_dQCe6*L#?5RBZnr!lwVbf(*08J$y@{tj6r->I(*_nkXxLClDYyex_mK| zI@GP}Rv?9owvL)A6XGx|GMxJE8qP6>QKSJ-U;u+tG`6CR0TfyoEv2uMn_&`t$+hCn zJCE4Zk0>skI&B(Wc;N*Ts!YDN3SXuqE>S@o5*f+GN&O1}M`U^o@D>ubgiwzQ84FBK;Pcb~u?>o}L}CctR{nYRfQWQZ{@d$Yn7^WL;++#Zt7KCBX}% z_%V#}S6%LQ>?zn~IK8+5NeHH)OgTB2m1~z#%()LOyx&A5las$gjUavGaEc2l4kj!L z_U0gp?Q~EdwsqAS)bH9xL>G-9BEc~eW?}q{3(;UA@o>Y|fG}E}QVd28!j93x#QR#~ zR#KjYzsl*SJbTZa@Q=Nxg^E2l^$Gr@A1>;{I*BYNjvb33Lq{0hVg?EMxffi<1p#Am z((#`>F;_CIoAvtzQ6x@7p_r^>ibP42BdOU6V>=P7Cya4nBm8M7B(5-mQFvNWPhz`h z(R{W|qakwK&E$@9igsgH-ZnI}HzF!79&1T3DoHF;vj)R2jJhYy2`zlsmL%CbcIKdP z#Ro`X99(~L<}nGGn0f9s@Ck{eSkgr+USA|f#0hkLlc>w9ppjHkR1C(BCy}D4+>di? z#Mr4cX1R!BaL(NhcAN0=%rSzBb08uy4*6vT7(8(#5J_+|PIIlR*37ZcD5?f1(heh> zT;!y8?b(hk8#f>}J`sTwm6nsxez2Nztfth=v6_ZDqbTilt zzg$|LGA^q>b*NPol|J<3gJwY#6BdUt*^^OGSb!*UkaaXpN*SI&tIK`d8Xyp3#!f^_ zMGb0la><=<$I!7OF)%p=5fr^He0LuGG)dsNc##iXN?Hp2>jIEVQELiCiyth12U#P> zVC019=wyKNVsaEY6jMjWM(}+NFnUc3i{3g0a4{e&PdD|xTd1ENMk3$Lw&ku95;2f^ zXc`$&%pMj%hkA0Vg1wAkD#@8GTm3FNX(+Qf*G18%KUQsC$v(PhI2DLY>W-zKtf31` z2K-?(CC8XK0uyJPg;kq2V;|>OS$PG6{n{SiI0z;BW|HFG1ED3EL_yQr5LMG1IlZcj(c(?BVKEejN2K z17lLLfugK)Mh@lf^2sz`r^9nzDYuIngymb-poA;!BN#a{PxRGv+?yghp7d*>N$n;ckn`Y>*}vkF5g z;*1Q9VsP&ytlLqH2(HvCTN|(;KZo&BeK2X-Y1sPIzl<2zmmrj*zMF`bz#}|8E-nFF ze8{4*lcLN3R~s_uyBSR%#4r-gDQQE3rlPqQswe3gb6g++y!5Fd?|ySL!I!tK~c-SKHt zCsQ9~^|hTzJOW2rjEb6Swrrj!7fOGy{))@HX{}L7q8AKiBr4E)%78MjC zkS;tUl2X7B(kQ4d!v_>WFW#{MVR5mDj*p?PwFpQ)HFa{bRj|8s^v(o0@oEu{vJ6FE z_0A!PeFfRRtd|6_ibgI8+&?WhXD6OowF2+a(Y>WToydh;PtX$V+DSwZ9Y;UE@t8+M z;zwhJt`05Z$;ncAFF!+>yvqeaJ1UtuwgDj1H3mX*;_5#$Ey zNk=^fLv`HRs-}%Q+EZsDZYzX{-k02rCao%?aV56w*@CmrIR_ixUy0|puEX5jTd6#qxgyN?fC~z8Oe2%hkVkzX*BZu0QDzvFY}25n9DDcW zV=SHgH`4lW%k%%EOG*$PS^ojrNi^I_FMV`Pe~yUletlg9i`Ayp{8)f94#cUUvT+qv+I!k^vFK z19MDk313tZE=kdeC?+9m=R&}j1BfznjG}wG-$++lO9@9k10+N2JqQoQ}0QTX8zY&8K1#kx+nd z8R?wLN!;Od>g4+}IRvpUq1%UbD-7D2t!prJI1OHc5|Fcr&f$%#DLVC`Z(j(~hYmyL zFd{1YhXv9oV_?t#5<+q?B!qI}cJUY&9Zy6|Oez9PZgNk4K9NljLTKdBK(1s%ZJ~)c z^A3rb3}RZiA`b}j$D*wtq9mjh1r0UG4(4vyWjQpLZ>Ro{M1urV^^r9o!f(c0`h}e{ zV-^w_{icYbL~RVKZJEZWWgsRc5zALCfh&bB9HI#QmDs%>pS|j(ZpRycku`F>EGtUP>SUe1LEi&5QgxWC}Z%jW#?}A(9wBta+-;Hk}z}t z3F2Pl5rG#`T-d>gA~Gt`hPbjYY@@y{nErE0GNu6uqbt-8&n|kySf;wQDkp10i5a;S zT0@c>m77Hy#fXdFUrMXZ1SF)Tk+~u@qUrp;rYfvnwwZo-B(jWd!%p`Lgt^Eh(IIz1 zt*-}Mw(;No4)h@VkE^TV^H>3MAIdri?ZX+E^@;p^b1xjMB;D; zh@kZ?iCRQVG(zH|kVgqa2csaRQ+(V&QF_AgL}TfkQ=E(Z+?{maprnHHBru=@+jeg0 zo@2C9;^>>{h~h&yi93I4{_CDOMh?`lg<3n&7){)$=2$BUB z6n138O=9K0HUO*X8sOVTJx*m2ZoA^U*tM@5Yugx)(wDw)By7nBv9;3efqv(dK}{fG zZLeuSBg1L66;P*B$~aoY&NQVUA|xT?%74h<4C6GNLLDPf5wFNy&J*pEh_xdioQ+W0mm+4uX~2Li z1jj}rDJdPB^LC)fRZRd;T+QIr+R`eD`pHf@wm16|mNa^rJmU|1zBiL3pJnw7cHkb3fbe7z`xg2=R^XB;F|#1ObO29tk=p=)EQ)&Uqh35n11gXqx+{g>S)K z)1E=BYb{sDd$E~b5F2V@$k9;*M@vQSJ%kKeP|BIPL7N&=G`Dg6hX~!*2qcsvzqJng z!s*yfYB4>T20DYnuxRmO6wnftIGIFF=$!5u!n(vMT||yCbgB3P#Z(2f;9I|EGmSyW zOR&5U>3ABFoWXh)Zd^@quA6Qli5Q(a9%U`1j8apDXmVlKop~X)R_5XPEsISw6BC@f zAK>jrE_V5jPCB}O0kL6M&^odjH%@*9Gou!gaOEI?PUnApHw#yMX#xWj5Pr0(bW<-n z``mL-%kX#k+cr^$R)hDJFG4|607ZpS*yLuoJnE}Pk&C))!kJjv@gcUa-^ltnAQDU; z5dQzp8}Z{Q z&mgK}E$2f%{tGP_8ZOKNux|Bx2rg#-5-X6?QjPL( z?r_4HbXv-Agrx^!@!~}&q$Fbh9OL{`I5!T*%(1w{czogXv$3bR1nX$s+eE@|=h#$Q zl1?6wi3J;1p+3Zq#CiaRC5}cBgPnIY)FY1i#%s?wADb8`;)U(+kkjR2qn{RC{V7Tq9SEw=W{~7|isAx2 zeu&h61yLj@|2FN65h2}9g-keT705C%10qmSQi4^qV1#z2U_fw*@ywoeb?9Z+Uuft&W{moB1xcp2q*1jC{Cn{!J~`mqdAxUbH#aibYUUv zI3O9wxCuWIMPuzs{aP@6&{l6)hh#dUSJ8MzBt-@~q4e_%mh~^$^;GI1Lm9ShZ*vtF zDh%VtIGpvBwTNZgvD`sI?FTAs4p|=;UyLNty_upZs{A77Sk7ym)T1&|jb8;4g6oY1 zaXS}K5pCO0T6PvWR2sQZtn5cIs&sT_j*&pM(El$Yk`jwDijO%y&9T_TNCt-3M=RM) zaB)#pPs1VwJ#crm^7#XEOm3e+bgXY;KnaOLO^87nVTn5=kBDFz)dW$G8BM>;aU{Yy z6y1i?h$%8Cgv79wbF2oF291K73$$?hjcVR%j&XhCBnJXVgn%xyEL9ao2%S|3I{VdyYge%2PXAt1C1GpEl)+2(Cn{_ZNo3{9optOywy zqtIHDi}Nq|9G&#Jf~S$pVu}W*3?Bu*mUgVHUxQNA7$Xj%5&}Z9Igehcsi6UhNpbkv zWmh3*UlEDuMv7b+wUP+S#ebXWPgYcvk7R}|)4qNCDymSFLwy~IMkj+h$B&7|zvjP$ zChj)TM$S@6docD#l1*p?iEm^Gc{L(Qw@)aR?utPgIW9N3!ObG+c2kj^CRVT33*r*{XO{Ke zdvI#%UkEq^v47#+Ypt0No6h+%psDp4jNi|jf}z~UucE=1I<0YVN6;6L`Y3OqnT(%oAIIXNC7v07w6kfO+# zK!g$Lj~p<8V%9Q-dW%5nz(mARzf;gzL4qiv+xI#iAx01E6zzsYo_eVHyJuiWUKa@u zgB4VApT>cM*_&-N3?h*t6Xq8bix0Oq;hd@Q+>wF?K&&K``mGK|mI>q-g6cUQE(UVQ z8Tz(Tr&wG>14u3n2p4~uM5;ypCln30DGqTVgA~LUglev%yH*9x4 z0~?f+_zmNhW;4^LVO2p60%Lk*GBr9mDDt$g==`WV2Fs1 zWKa4}Bo@cWGLtihqmCl0T{Xo>BDWLP&_&T&0BS1R(Z(|FYC7phG0-;+fBam&jQcqN znb9$f^gj=2Ny*eJj%0A^eC%tfq1epBDfSD$l-_tOB^VQDE^dIwfs9Sl}0+l26Gn-I+s(-Iwo}}gLyZiy>1WuTNqxC z{o1yE8-^yNqM@V?qqx~^0^JyLsUQv}T+csuJhs)9Qg_ShY3S73Iz+t(?QkzRY2QL0 zE_NDSv$2|yV?1+=R>sZS&3IZF>-3e^pT&r*NhqNB^T`Eoqo|<>HIgJSde8rszisAN zaZ@?>FAT-m=M8)#2T5fu3?8m{<}1L~v`etc{^D);6g zBQq6A32BImje=ir5jS`ZMD_@7=vrQdkopL$;I0V^KKuY-vX zgkyDKAu`g_keZar@w%~o>qey0yg!Xxn~UPne1>)_t}nvQ;(T)2ZnHB*XKf=nA5S}i z9sjFIz841LW(c%**RDol`95r8uxjZSlW9Cto?nBpNm;0@Aje64+?u5;kTrcGw=iLV z?fN#9GJtzt?jB??2I{!PL3CZ=P8~GFkz!X(^>)%6lUUh@bIgw-TVL-vMlq_ZiF1r? zn>j|8hZ=Ha=^4own2^Gt5MkWKgaPK;eK2D9a8#F7A-FyaD=Uj>th1b<*|xFSB4D^5GU@J;O#OFN*cRh*;jV4Q2TR{WZ1!M; zvM;`LIInrVD6j5?|9^qZ6QV<`1u z-~95m6ng!=Sl-2F0`$jrEL&*~#uv114nH zk4eme#$@zYJi6V@G)AJRQ`Vb4T@1TMH-a-5F#X&~Q?X>jN^+01)-5T= z@btknKB~bEh92{wu5HG&DY#<7dDyvgI}K~{xq0r()cpk;#O>-fJd%_)H7Nz77(r*?qph%Hr3><1$UaW@R#C~h59 zMG-eGI$J3LprLV}q@L$kBj;GP31aRm_|T}xaHpJO4dnJF&6<(Xw)dFd)*wG{t0*`;1n+Rqi zSI}d!24msMcj1nr$^7?yX#62n9N<= zX}xD+b&?zEB4Xb_f3w{kby!`tn@F4@MEc?7mlU9ed-PSeHJ~MmL7&-|DvAd2izgD@7WznTtmigWYidwWr|#A;`6Bk;@?C3$&TiO=5c^W^>C4!niry1M z>rHoiC1J;))+{HrQzU%>uf6m_lrnDS)?Hi8)=N7!uSGr$kvg~y(~ezx2!nPk->?ch zckedaTb1n2M_VlY1sOD49AFoB1##0|rjBke%lB->rrKioe!viR436zX-@G-sTe#V- z4}(!RqA7-}8}0-WM4fI{W|oN{w3lLTMRaMS|6!qD3!YuE08O-dtqg3X3rw^j-n~6t z$sm7Zj=8x|@S|uwFf17D4KjryfCwl>?U^xdBt~Xt;H_l~;S(Q-om(~`neHg1+X7Hb z|JUt|ecQk|v44HxVMI`pAUeLAk$ZwU$NU(*NMcfn?>kBO)|MAwC;eqtm2!^J5}4r( z2!}nCUIe3(JB74F2cWUe&&;tl8b75m)L`!Jz3ecpfEDqEb8KC00k*8IKwS_Q4&m)) z4)->Uocc~J2si}sBz>PGg9c&ik3>zzy@1g^P>93sW8<@CR+V|-W8cVCYBUF3wDTWe?y9ANx3wL?Q719>N>q)=qd zP;L};hml~EkW&h#Rb)HEkQu`d!q~r!R;6`i$l0BP;n~?JEX?O7#`VSpL+{&{@D3wk zisDh6TwkmwG{-oJ3=j<@D2)h?OF>slBj*)G!uxNNOC0{ZzT`L%*fqLS0?rgEQvXllyihIgA7Dg8hcPr;utKrz~97{^cL^yYHA-j$^EdmPi z>GDA%rjRhS(n8hDF}|-(hSV#hyU>W?VVq;!ce0|Ku;=^aJ3M%fagpQL)Ew!9aPAQT z4naIgr-2cL(r~4d2)vUqLBu73zF1w71xh?eq@G@`OwRASsWr?9Jg`u znq&UKgc;`@3BKML)pnd?ZVVee9_tpaLMZjpkuhQ19i+9kwb1^ z$T>uTk8Xeo@3TT2%Vf3C~2xRLXOanrH7LUXK! z{q1D{In|wV5YTjX(n$ybMRpOsk_DiD5aT}*Ir)iAAjctYk!xh$<26_4&9vext7H67 z2BxXw&I0Wer-srpHDO>H4N7PPk;L82qX!xjcIUh4!V@<+LAf&c zkmc#3ZZs$|0dIe>6}yVaJ(D14FUkS5{tS#rq&_tRBZrMAO%RvlA}o^_`!of!hEBqu zkZ7cEXWWQd7sjR!#USnq;mgo%1Gx*y?ElZ+d%($gR%hSW%s^egtN)xkG z6wP2|8qA|eQ9_(mrcJ3OUg07(G=KOrYuWN$YxgPR@BQu0p6NPnNx zF{>L+QPZ2k3E7MfBP=%^8|8YNy3n%`FT4&!f&i)#<5QHGRY3He#~89jNsWqiHc+K zFljo*#fIQYwJ_PD=xH4r2Q(J1H0Faw5y~2|y^H*3P)2iy`t6gzT!i=*eWsXej1?(7 z45szF#S(E%FrJBTK9Pv?kL+QozbW<7E4c2O4e~$)-A;dC0A>{rDbfVy5FTxZ>WYYH zB93$_X{<@oZ=)F5zV=~V0R>yO2cr^5Q%P2lvKYf-y@;Kl|Ni^OKh?tgQZ+!*-4ipU zEYg6Cgr_HQObym34I@n~*EdeTV#qtD{K6uP`xLDm49*42)5&O|?h)o4fZCkGiQ7F~ zuFcLAMmAGKGn2FoVBM)-5mh5QOZ~YP3Cz7Y$1pY0(k1g1!>q@8?j$$vb6sZa0UV8omBXYM9Q4?o%EZ8xsb+n(Dj%CDw2+b~Xh-i*XvwfTHN5{%?i`7WlxzF3Lk}_LR zFj9+KSxG=PouTvm=mc7O90nfaVt@3yh%Jc)b-UdqQ?P(+O-9 zPJ$LEG7L4>#OMfwDx|7NT{V#As_E;}BS&_si<%(#5WpwXV3`P!S5JGV8=q8PSL;^) zHN7pmZ~Nn_Y&wlmXMzLgyqp;=b9^4?#-gGUF|sK{$H>m2KO#0W$X4GnS(v?lo?*Qc zAZUvr|F-*|BAV-C$Z>u~hUNj9X>V@eL6AnoQHH74##1{CBt_F-IjqyL0Qu4qo#ULO z7HcvdCRH06flwXLQFl+5TeKXhIH`S|wL0F>pivC?D3j{PiB7#NXa+F+_OSa{L>Q6x z(B!Cow*5)%V*FQ-6sj5e39f6VH>YDf6sKeUb2{1-t^W3KKxiH`Ns{^J9nRNqj;MFZIBY0~{nAE|CW z&2`$85Gy6jZF3U1umt8J#0$&jP5q7yt95X9(t9=n2dIaYdo0g|!QwXzI$@7>WKhR~ z&I-QAo+>y_0ntQ<^EFB>YXHDYV19vutuUt0G#yQv%F<-bEp@Ee)798?M8Ql}^zeM{ zY^zkHqe@*s?$a{U={ZcH>A6(t(F?S<`GjH_D>czMt`N=TZmKouJ`d?V1CkiW=^(W< zFDv$B1`694DKF#!Q@oeNd3s1^+4WzJ%@zo570Bt34y^#woTJ!FYeOW(Yk{IIHh9`zMHXJsp??SV9vsPOcaLQ9J80uF-(dQ zAE=)0ZqlekU9>J(n@wzVf|8jUVy@eq(@byEIz|A<0?Q&nLjqe?*{;hNx$(^i2s!cV z5OrV!&vaLvgtUMf-ekT;sO60}wkS}Nub$R3Y5+BA;4X_So0@BtPK~dw`G^KGxmPIG zwxeT{?G@-~A3Bzy1uWba<(DWcZn1XO9##?)LdM!h7_bak1oD|8_39ylwiLDvsv4q} z?d$9$jh(Ex1h{4aWh!+sdoB3t@hfcPF(3`M! z3(|E~r(?{77r+4kQmi`YJED;==^|^~KS?`)K>V5E?ihvdw1-)U7}^5Trh{#V)z;Cf z>h2z(?-&q_UTuAiPJ7P)uV)TCK)=xlJ#fwCE>&m)aT92-m`@MgU3a`wr|2O&*ixx9 zBF%JCdGmAf^+0ViLvN?tyu2`dWk%qgpO=oIkHR^RC?zdPISJ{y=Gt3yq_qF=mek+t6y2P2_#49G}dpq z^%i(sJ$kO<04d{$7R{fpSe#@91mktoSjUs7xze-gM`npQ(Fqnf=9E&2QVk9JjvL&K13O>GOfFXcGaWOm76L;?G(&)@H5ry4rau!ZwOXRMHuzcldKrC% zj+Nm22297=npD+4$j6wa$U^N*ZMCY=OI&SYrcU{PvoI_`AL`W2HI7q)Qm65Vy% z9XeTG@3>+!8Lt&jnz$?{Pyb!ltO$>6C_KRPq1}l8vaP;NKjSdX{>iEI$|h%NnZ>;``coLPLuaZ~`@{dz7=2@XLxalYotElatw;qy>7^GkD_IL^+~2czkJ?(A zV0ZyhiF3?g<)1)=Q7#}z3 z78e-t=&2x);dHBsvHe7oBFxV!1k@6(c#L-gGZFK13zUiji%uTXB7jHMC~pMk9_}-W zXJBKdj4RdM-Z|Ovuia-SJh9*U)z3*&)596V3WnPrWCT=4O|LSe^? zEI+L$9(qx&wIM>Sv#@NDx(3iO(6<`xQSO3VjTj&a0t2UG0AX5#?W(M@8Iiaz9K9FM zYS|4J;hv{srUF)@+($;Estb|_6oEk zGqW<4n39N&Why|4*l<5i$1Dvnx6Mi5RU|Oy5MM<&Z^pN*&+6X)x?4@V52?RxSYbav z2jY$}(TxvB91? zXxTV%q6*?}R{qokeXyfABa6i~5Q(KUEuCjPrp0AN@Jw3jle*=kSMB9SFdb3ZqB8lR z?CPd2Uynh&OnG-zFTfdI9G{Q=$th$P2;i*D5kcUc4Cia{;$>P?R;&}j zHnlQzIV+=3UHx!pX5?t?qC~aqdV(}3;2f*_k=8udSLu54$SGQXorfNUC|HCREnZCX ze!Rw6AOz{@tL=2;qH*JP(r-L+TjGCFA5@9OKgf9n@?Q}JR<~@0$`ZwyB%`Ge`E>#vtXn%ly zR`SCwM>||(S+=-L(Mhq8nvasT9oNk(7b`Y5O^1#ho^IX9$Z|E>a!Bg4{6&h*PGNxq zAvo(p)8e^pP6DqcfjNixYSMWVzE@s;T>tf*yQw8bJBQ^nlpX1%q)f=4S)Kba9h&`=;>d$ zblw=#(*5Icq^*cJ(767@Dedd1RppZpYLtCL(JbRF{G8>@(3p3B``fA`&1=rlYJQWz z_dNll30nYl9g7NBP5eOobLqQ$^w}LWetR(X*&0MXT>z1|RYhniNG3(x#loSR$Ydmj zbRx$_JS--N&XFH05!W^W1{CK(YS6sBEi5d`VxKDt3Xpk3PoE%V3UFo{PBzSO2ZG%i z@Q&)a^1X_wJi_$>9Pv)*V0{%W4y0(~CKQ|+)OQ|#fVx|ZdZLDvH=n4?9@GqNU;EmB zsQftdAg$nPavD6VW7+eRnO^`%i=n=Z!b*a|ueQmrnl{t1A%HZQv|XI1V`Ko5b8}S1 zNGqpfEEZm*V?!K=H2ceR4Cih$J983PY?Q1}`RQtygr;<=>KJ^be(kSA#{$%yS#;g| z)PwFiM_FjaQfNKX-Kq)dn}hhk-L0o};wi>>#R9;I;oK~6=C(NryqW~&9OA1<=S}#Y zwJt=cZJCMn*>F{yS=w;C!U5~22?0* z7e`MV(sAmC-s7bA=pzg>ApW5}zi>{~9G@9XGZXN)|1q z2ag&myH=d4(5X`=HPzhdh7Wg>o(&Iu7$wG}0P4_w-n|TF$=@Cu$`C4AW7SW&n>8D7`N9n!qeXp*$=9(8i+s4?d zG(c#!Kzp^#IEMe}pZ>|U-@Mv>zYXs#T)0pl{NM*??N%=7(aqXb%pLfWBw+o8R@1XV zs>E{`2ZOz1l1EzA3;?0MGgg~!xmA1j>~QTA8IT??UB1#qA~9xEi4+H+zgoU>EuSW0 zWXN#!>C-xP>JT=~tKz&;+AiW1H!-HZX40KZIY`N0rJT|-H8j+!8Wd`*BQ~JBty)+-UqdbJx}B!% z`%Z1OAjG9yFG&cmd1s1K7%f{Um@)IQC~5;W(4*Q?zOIMn#p5D%fz0)`51M z-3G;{7V9|R8cQEnGLJGkwwI`COpPFTq5M%E^{x#x`442*QyXqlT2`rg!%2!;Sfqyf zCT&@^p8BLuX{pSxxclKCJO!#ySnx z;;`bh@upj}4{qFH+9ooY3%O+JGFx}aPt?~9o!vMM`b(BD4A_=g=vYNnrA`p#*#juC z9@l)oVnONlwH;FePAw^Sh0`$u+|;%;QW6+c3iZh&O?4VT#};NRS9(7U{F#1qECn6w zR$}flWi4K<#|}TQWY~tT-LM6KSc{g}}}ZPfu>eS)*e!xM(;hYfGZUtafW6 zMuSNQY9ugedM`sttUUZ`8+inM@sqTT;LN}AjqmC&{^BpRe*Jp=;0Hg@)mLAwpZw$} zDj<`vX3ZKFQ751R{C)lTKl|0d?SL72VYAFf7T@tteBu)?y!PAr!{7ex-_Gi-%{jzb z74OU++yOsuE_??tf~4cDZNP#&R`u8}#j%+cVH(E!3$RCMN(gIJRxCZolO= zWf1N4F*LXyBinl7j5e)U33#L1p*|0hmh!=xG69>-5h9tJuUH8c7Lg4#oW8yh02RR8 z$viC~Iw@I^s!}@6Qi=++yBl(AQi>7ACXKP*+GPc5s%7*~B2lBySYW&g(BHQVAj+ZB zOnaXk(frsXxzujI>&|aH&d`C#%>4(@)Vnr(?q`(2^&j znHd?;y2bO<+|W;571S*N8$;m6gT7svSFF92htz?hE`%v42NH@1>3fUf-OB5=2;>5v zxs_nf)5jL})7!7OO1=tQB93TB)lmkucI$X! zl|p$%`o-aGieMZ)^!vMd)vQSD#Rs*(n1QR=O-ZbO)!jU;iU*I5w1gldrFzoccVdS}i=@TKl0IW8#;}L!9 zzF)GC=+af2({%m(+Aj_G-Ucg6w1;UK@>R|L!ek#P~jEWizDh1UFGLgcZ ztJ5+5w&^LRV@FR_>EQmSaBP{n^F1GeQ+EuqZ&K`#co%2nX+5d>E*5x4o@#eGHUJPQ zj>W(i?!BL;{ZYN=&NAJwKAC8p%SFeYt2hWnVYg1u_ZX&!@xeoUPiXaET*iz?h}W-| z?9chz_s{~CJ@?$BSXhyQwDFje z{Jr0Mzmk(i^@kt0@UiCJdHoWYbBM2BhL`mSQA9D(F^RCl;25#PRK)nAQx{~VTAViz z2AV;@G~LQgO5q(31}ujD!zvX5%uvT+qM2S*?hCWDjaCxld!D9Nc(S#gR9yha$4DQ5 z4+oANP%7!C2^!M7m{b8qLBBk&22&5Keq-JP5(XXn=0H{%zYlsfpn|m~Z6KQ6Y zR6p;HvRdBSR;3+3`Zot3dAlD5Dh_k-~pZar~mbL9G`}BW?4Q0Wt!D_3Ax#0OwTqQ1qBP64dW|5A@P+`wbTRd$pW{4mJx*HssUKImIh&{J;l}k(>zMJw zHjP0}-!OoKg)jotwCUJvZ?-J5KNq|Cp_%)WKlu}#0i5=!PkqXfT2xk6>cIyeR9RV> z8X3BL*IjqH@3^H!=eF6Ez??&zUHQ)V(buovppSm+lls|%TWJFCC9+}YD)pNvR>57y zJQGOQg5|~Z2li^)zWvnrDixJLD+WF=o)lmbq}v&!yv88>4q#*wa00oWe8uGh&}eQT z^*RO5Xs6n-uihz8fB{mn0C}28G~SW015MPAwmI%nYimgWP-CN{G66ZI5pj>;loP1^wg6%(&Si`i&nJVZy@8pM z5kJS|K8&VsrnWD9{%`c(zj{XZKlmKfa6!dD?U$IH<507m0Dl4ru*j4a5dj9ZbUzFh8?WA^GN{O!6D9%H0QR7t(QoNkoY)l8F+8Nb^3$?u#P$P> zNe4g@q!GW9Uc(W^GY%;sBOQpmP1ER8JWeqTl-|ws)*{9KEROV!>F z{GOq=v7i9EI=fV|c%lC3iF*`9&B=NkXL~c_lU%e)p7l$WT1Fif18Mz&VQQp-GK?p` zPliCiCRVAeI9mH3_;*EtmW+dVdjIK=)~;Wm_pQ5LKYsi{F=dI39_>3IJ$ zztRxQGalrYK+P{q-7q{f%$TQWr4ZGm$7eGWa0ur{bVZ+`plnMbp(^zy8^m)eMV} z%NJf!T=MFYE-Wrk^ul*3;l}sL3n}gSI+nPQ-pnaT&UHXVSwU4)K8cQnw6tKMS}R(K zaPt9%tk7c8&Sz>H)w=DluH1Z$N+EA(gh1ZXg3~$%7b;G0Y6!>XbSy`)MAHerRCKIQ zy@Q?1$sARD>9mfGz&_K}A5?&}tm)V^)!&rjsoj3{PySzh^LyXb##O6TS$~Gb7g6XW ze6f@QG7IPFOV9pDF{$YEWzex#m+aiTZ*~dHIm9>n6MBW~8w-(=oJhow6LtaW@L))7 zbdjaD#`;>-S_@(_BVH+~i9|3S$J(*#`qjGOrt8#8edY8dtA19@8#mve;-$;wrx&rY zt(s%`w7jfD-~98xRd-9T9((L@J^ssIs=vP78S5_SvdnE+>%zNl-lAmyA~pdNkBLvv z`~LpZYU}ABQl+7v)x1SIMkn{+efPuTS*a!rp_edmu)B|_6e==k<6@}S8D(b_tqkW% zaIbK?Gy2jbjgW(*e%d^kS^>o!h!rAXs)XFrB&_y}g)d>AiP-K=Vq90q?BPXTS9?diu~V1(E}#tO3ce8$pt4KxIA!jax@^ ziynXS3ANTV>hXskAwAuuBQ(!Pm^m56G>r(3o?Do?jb}1C9s^Jc(uWAq8;%NfaXL1^ zxkkDHWYrC;FE^evIFT6dCBtRAddnJJcjL7%)^w<90x%IDy6UAALekJoGDctW`t2*BNP?u45zD_uYPr7Oq{UYc^j?9WX}k|NGAp zId{2wG(ox*b74P{9{BN3)qs8lAp#h~RZb2wI*U#PAGOy>3&i;jM3F*utU)s;rMV;h z7D`~wA->ffD#p@*9sps)P?NJ7lL1!Tv<6E&PId9~0aAbs%77ij(we6#PN^xjN!u%S zIMB^_ERFZnAS>n96Z@a#GyEQJ(WOt-P;0&S;~&PUg*8llF^0acSP-HyL@?F^X>@KQ zL_bgM*rvx$?N!1<_c4aZt9~E7k!G0jY?hYtWBk26qpH|{RK0Dj?!3`7bC1{r1k$OK zOeyGWYFAXg<<0ODRv)_zo3#Lh0eHl4PZL;G9}Y1BC#)f1r--iQsb_rCPSTjS&^PGC zDbR;ldr0LpgB$D2RIJBMn|O5RQ+j0U6Ub?d-byb6k>T~knSJ43{)y&soNgZ+n**b? z`v^7LAB8eYrlXZ5X3`r`lnisI-QjiSaIq`n(NRB3r+VpgQ!l^+@Ro@`KcW1qrJ zojU#eA%$u?RGD}}BNgr1KK7&r;-H>eoCdhc;-#6DpXpcxRA&q|OY5;Tp5B+d=wkU{VXuhu>d;u@b0Ji3_6y8j*-T!07&z$ zkNm;3j`grOL;kVWdx?%&glx#)QCdf8FKO!*(9}@{w5FJjInBHbN;Y?jx2y!_9O7H>(M1z!g&AcN z20#;&m`pvz%oLN~fv9_FviFg~ibMtZJwp(gfO5PVFB$_xzJvyDSYvY2L65?Of^Ay^ z)O%oriRc)<@BQ%m%1q7FzkcSg6i;pJ=lB0Yd$#Swh(i=k-NvBpVN!y|wz6^K25q?d zO5OJM+c17iaR2m&z&v7vut=15oMEE3L6tC`#DP?_#&O5*Y46}H%>fy#KV=>h&ROMq zaqLq>y0g7SQ;Wj_Yh-YM$d)J&N8|zVNI{V(6@Uwu=ZWnnE??O{6rvHr5D(KXV(7iv~N3n!}_sV~iAlg^=kOiEyrl^fIY< z(=o3nPrjjuuGw-eEjiIzSX$`DJVnuqYOG3L(w3`MEK?9p)G1QsreirY*#G;V|8E$M z!g}ET2eo(mZf1FoLsoCX=Q$Xg61CRN^na0D+&y z98(0~O9+GPxHG+e%gEs@F=(Wij7H2HnGOAIJ$Xu-i{>-eFiW)nS!~5V+&!QS+5@uT z>Z~EfWewuPIMZ)G_A}~*(6DXarszSU7owOb3=P;0vZ|0WgV5Q#f3J2!)AoJpX)cnY z6(NeY0n1C-^oB{COFRg=7^X!SQ#0<*SuyOHivS|fdfEF?^wf0&wV%Lgu;XQT8b)G3 z3(6F=D4cMZu~;^Boh~*EDMp{`_YrAKfI_th$NCogU_YT-MN7AARCf~YfN#wx?G~eB zZS-6&)#1SgoG4Bo2B2rl_o$V$^vPWZ)pe#$sk8`K1HX?y=69`+w1b-A*S`C0B|Vzq z(#AdEVQPrf)NnN(&TrRX3x>3mG>t*Hy0O~+5@8g$I~Lr()T!n2`cy~?IP zH8Va#b(82FG8%-v=Nk|ISOI7U5A4{g=n?LV=+N%XoT8273gG~EJik-hs}Jk@w5Ei4 zZ;Yrl+H{OX8LJGg!%ICH6!ZW(hLd(WW>Q2}mdP+3OW=KOgh9cS6mX7+?xMFaj@hEs zi{5y)wwXhAPs8c-i$85yl#4y4Eg0P>wHF^^?zdT#z??&zMd8lYp{-BQ#ydqt#bFdM zCZzw!%h5`JvBtRU9r_{_b&=KYsucYSL!_Ppk=foHUvlimR^Lpwd-KbPHpQ0swtJ z`lZjS3G`zG=Mn?AX9!+Si@w~3K{sX{+ssfoV};=w0(3IcTrbbU3~U@x5pH1CH;k1< z4O1BDSdfqt(A`Cm!VME4dgu-0B9JZDY3Nyu;Y5f5$#vCA&l=SeziOlY+7IZXS2aft z>c-nQtEc{$9)E6!hSLF?kcz!xb8pyoIY?sAGTG+D{Sw4=Q|zE+SkASb)_-`^NU~n;>-4GX=$Oo zsa;oGafSAh$=SGZLFA5lX}Op*vSU`xj;NugK~uCHr0{%v)XiM4sDV3JXmm0t`R?z07uvBjB{Susnf8Sc!~jOC=fkj% zxO167-T*tI?~hAtMB~qhYF8O zsDm0`Jje2L92+YYA!;`rBQPgIq_yYRK0UVUIa*6RYDdQm;}eUH*&u3*s!hk7I+-W6 z4dHM~7scDJMpR)@xwT;Mm%a2GH*-My(eF!*&LRm3n*Z*1GyFM62fy|;5Zabve(`3# zgv*yNcMbQ(Kx3#|`?sI(-|zqa@4LRk`|i6>t4O6EJa|y+)~$Q-0WR@318I#@@<`y? zEINHX+d1-wbH}j=)^tBEE{^BLgE?=rbJ}Zme(O^`x7jg9Aylx>*mmw%=iYy#|DAJ) zZ}bzm)bpd$=3fF#1fQIW$LRP;HIdrFNV&)mG+iu)wGa-($teIIJmG~)mTI7>UrUxR zQO?3b)h2|AE=cn=0Lln3TP`PATb8HkzqkHM*$l6J{~f;z?G!wv2M?+8$Z-g#M_{QL zlb=+gt(yBVY*$}(HN2Dav~kl_3NY~ZuYd6G^oVuSRx#{2H}RwRWrILqu=ygI5w}#I zeI+Bt?#va(69FY><*5z=fQvO&?D+aNqSZL$1M4Uk7(@Rgsb@kij}74SFu)e!X65F} zk3+}LIHwsO%hZL%i{NQZQ9|q@J+p6z=E3(G2^8>UpM+~NR@EV*W9nr$ZoXOT=Py-7 z`3W7}vtPk3WI{CW<@kxTrHnyUmrP`E$9wK_a$9@FT7C7gpJ?YXI@!soj^k8g5=iGl zFzzSS7wQ}2IxO94&zFBm7g{5~5tQfVWS_jee_Y?e*5kE@sEG3Z-4vSdf)rrr)A5QIcI41^Lm}3vENvaV`*urlg|SW zFd^l2Y>z+wI3)h*FGQ?=@CSe3oSixKojZ5F=*WNcM}MS${KtRP!w)|UdHJ8d@SZtC zqoup$mRnR^U9C@l`qMAGcm5CNINu0oIp+}1e+qAbpUv=DJQc$Ej109hWqpC0PiJ3t zL(y3p5a7NV&(x@3QNeU%2jhsBId%yNnHZaN^+gS-)!PDTcRC}DVw9ROPo5-8urd*z zX8%W@d6Jymgw9meV6bcRXo z*@{ic!)`)8KwUNpLu_p$J;3+F;|p=q^k4Jf2V z3)6MFywly|uD#Ezt)X7!06jwe7;cL|?V%AG^$mf<#ktvZi@Wc;M~NA!dSvfbRd+OM z%t&HE+Q!m~Lg3FlN|37kqm1avgx0HDg{391t~4@$cb@B8%+1bLV_&Ddq^sTWXHb4- zh@6hmjx$Q?J1%ztNK#wTBRepseijinZ>71WP8o&iE|LnFj**8*gs;>KF?tUjiM_sV z`IFPtITA%1OCf1Xbc~3ttEUGYdt4JlP_@;jV;s%t7|cG9JlL$oNvZ(P9^R?wN|=65 zAGehWk##3J^Pz4ROx`+H4Ijf>L$;UE4% z+qZ9b(dZ{X`AK*DpZ@fx`p}0y6aDB% zKdRc=S``-;yJMImv(1kGpa1!vI(hQs3&+{LdpEqR&yxk{anYmg^M`-a#@mJ z05&t{Y5UlH&YwSDU--foR8&;txLb|3E+ZpD&ph+Y#op$O?l!bbhKqb`qInOi_zf`J9O|yed`NARz3PV0d+nlCtelDd zNY~WYw~@}91~VLNt7nJ<6&O%~Q>3y?Nz9Shm?0m`HRMovf&T&9^blzIFy={_I5p}u z@qm47Vtg#A!Vdb?mTg?GUcMY*QYcA`4e9`OnMy-?f3RP9WreC~Im8OzW;9~NIL~Zv z22dH}i)d-IXwsJvuFFH@>tRJ|sptr4m-lL0d3M^ z)#8QV0Mu`oXD~_Bml&Vu(tM+&b*GX;x@zNfdicplb?bZY)ZO2^Ti3tqZTk7IeyI&N zU90A*9b^bBME%o?r64-C ze#1KLhmMX?wwj5U&4I02xI)d7M1TDQkXDtQBk3_Yu7q@w#ADVy90gmo8KgZFy_*!=U#hlXPExfh7B9^ zHBz_V_{KN%&_fT&oYev9o5qj&Bg!I{(zJ;hpZnbB+~_HDfW5uFZrX;i<=lGft@`qp zzf7%vNIxXSy=Tv!7mZ%BW7y}v``zz?DYyz70tF=FytYv`Fjgo_salk3=d)<>D_{AF zbEr1f>aYIluVm4(0gQ~=(WvS^{_&6N*s)_S0)3+ZMW%;y+gnKj7#j~@6@Pp_={1ZA z3*#snv!<+&g0UF^s4`Ans}&%@()0x`@@egC(a)dzIjejgH`HqsY}hwS^*bADmN=>|kt%}q@@cDzS0SP~LzlKdFklgj2hT7Tn$XjGiI}OAI;+jSd;ESqb7&ja zYZuJL4^f|mY=Fe8bBgxbBVr&L)a_^ofVm z*3ztZTywn}_PllfHVsBv+@z9tn%+~PM2jcYYJi+%98qo_Y28+)$-`{w;<-zKPyQwu z+wGK$cP8b$OvMdW6Bb56(Fx!&T1`L6cb)ZVDNt~z9NzK;1A9?WYT&#lB* zjm0I#p=0J?(J`+6CJ`Ww6>N?7q>@qHsj{OGt8klC&rcE#GRZ>#o zezquSCO4~1{@I`Xnd3?|hhq-XWMdOFuD||z2iURNqRmsZi1hFO{_pj#|N5`aL4NkL zpH&mz>36*29gI8M$J86B_o(~r*s((&_`nC;Wq#x%A8|h?B_+9N(V|<6@XRUNF|BTH z|61gmg8th3=IHFTM9|muI8ftn{n*Do<{V^eYpXv0`OoX#d+&91RRa}W?rqK?UT%rM z?(+e`w^oW^7tsxoVG@nm-V6+pAvv)-)c)L8A7k!@0K0#A1qWu$-|0*>_ftc|3pg%M z;}-RW`5BV#XiI5QU-UBQcM1cDEg~Jpdk($I&lVY)p`vax#%jB%y;)-*3wusBDlIWV zsi0B?cw+wgBsJH!aJ)DbGYB{;NYqH}%u5Yzgd)79MEZvNluHXp1aHWt;7Dc`DS06= zlW|jI2*5{A7s$m}WS8pOc0Xjv!)W9%Nv}Jhs-AhW@Qn5{NaQZoJ!=9rmVd_PoeME(m z0VVg+C+Z(@X}>^nl1djXkbirCx?3&_mPBQyWxyAjs65E8W8n6jBBHSRu}N>{HtLns zDknjUMlfDp(v4F-QjV^Ec}~YT8@d(YkNs|Gi6(hu=&@m=)47F+gnnX3$H`D6l zR|$?}i1Va_x@P&I0TQ;E8ARQ*i{xZyat$mQ?3totTCoZs0#%INicz2EA{YmU$B-DP&U(l^qzGM2 z${Bi}-o5D^>g}KwV`)DO5NkNc+(OQ9+ORU!)V?r=VDyq2^I++`LTkh1M(W?eLcc&ROsqK#t&RBI@x zgH2Vg=3})UXU<*3^?HQ!n?LJ^w(iElg8(f~t1TF#T?1P*>|1RmQUr$8EW;?z-`v8fL18>u2LVW7i1-f|-oNf#3iq{Wg=*96a)K6FjD6XG3*R8oHjq9Md)KWmE_hac9A{V2IqJ7z4=s~M3#$OCp9Kd51zQSA_^CsNb(1MTW& z#L|x1!<62ry>VPK^3&tHe)Iib)E?+fessM)xcrDbBV9VwRivs>)3H2TG4Ql78@75t z2CQVzPNS%)zC<{T$ZY0`h5j&a`xitz$)GF`F-SR6WL z3ywIb2Ok=IhSy=dVUj^i7P4ai0!%@BRGrZR`>PphT3Lj%-x!+?E;;dhM;_dvMb ztBK~*PRFc1ZfzKZEf*z%-vRo$_17!e|uKo^n_b|^7N7&}+ zQyfvkvnLK=lyJ2evd2&DpEjaIN4_>-*t9E@_chY?;bDS$2a$j|Tf;OOb=vH2S%EIy zUhsr`Oq(C$yV_Un)&8;hEGAO80vs0($$~?3bk-L)o!m1+%ImZilwz2VR8srkn)?T+ z`yu2JS_}{`=^$!($k?_Ba<-wtan8AMcoq%0dD)Iky|Z_e6mqoMNo9A12J|rL(H>d~ zhDHa75L=0e8r*!uc1Q#6+w~L+nkoJ3FYnO+qmb-hBSNS@yj4?xn+#=Xs~@XT&eUcu zZ&k)IEgpPU;b4xGPAYqyExxSIEc89PvxeU_w zV`;K;I%Yq++N0?hiv>e3+i+%UyND(Ov1Z+BBIBhxLCw}nk5BhNm-@OJq5XqIUcCxH z4)FBx0|1ebIfr-*G6d6Ehd4!_Ca4R?bd%~u$4-p4qNk*&FQblK>~pZ1nxz=6-u9jE zd`GiA4gO-U>wofoa}Mz>_Vg`sh+r6p`|d*Mg=zX9V^wa9Ab3Yw zF^)KfMe`YYK+G9X%_0Tp4Ml0!nGzP(h1U6WCj}4Ycj(y$7X0Wn1F+y zjwCFN=V<3J-a*ni`<~uST@Ay}>jr=@kd7@Z-1V~nFuA(__+W^3g;4Y;sb>}y82y^c zGg`W2g_3~fdq;gbeCnv14`_9{;ULc^$*=N`CKs8Gb1ZWbNes$03N=tHZiH8W&$`qr z)N1{Vsp9nR{AUF?GqU4mCYirzI+mKAAm1>Hf$a z;SZ@1?cTag)h8-&oagHpm*#YAI*N93aykaw1^JkhjWZqN85}=c4z1lLh#_d%AcC&$ ztee&`g+R` zeI1Jcn3(`H!WZnq&jaUvC+N;{>U>te```yZsPBF6doE>YwZ21#4gp%q(TDH2L*x=K ze4M#=W>f-m4$5a~OaK5kV@X6oRB=Ydc{vV7R2M4NcwCt#X$Id@m!!d{5*Yn)ED$Pt z;&PNSyhWjw3?i2*3;|9KLuF>bDAh*j{hHukL#l@O!df(G|G6D7`j)mbH_E!-MU)dD z_3XD18nfe>)0c=r4uT3BBLy}I*kaAX% zZ;TZ?M?H98ABe?>)+{bldO@y^cGRf6?WC)*83NEEv)SIpBkAf5FH%xYKU3b5)ev4v zFQP@l*0bqR!Kyn+wRxHU*lPCMrAtjX)+S=(ghsfRFqYrI0rqEFaP|+fvwE77II^R5 zXifhX#Tco9pW2y4+k?XxY#f~(V=2=zvg6Y<-WgPTV>JlecC8>XDkv$_?h}XA-qoqa z`Nb-tFY*BG98n-y=kd(ftlFTuV7m@ecN}E+?G%EuzJ|N zLK=To$9z#~%wZe=&_t9@;$qDzbPS5Wngd5w80lj{gWg?v4I+=|e3nCV#iDJ~AZ#-UooI-8pZpjo7`QQ>cW>(x&KsyLrI-S^oqneWt1 zH{Img4(`7DZr6rj(V`)0>C~Tdh_5cm8GU!oAg87GtXI)9A2GVghNtwz>%GG`YI&qM2-;heO z{OSU5v!rM-3z-S6hB2qMwb?nL=>?2EBYR#mv)MB*Sh7(gC8he-FYeWo#~U>``6DHU z60~UP72HRh1~M8HVTNW1J+OM8o!v;?r$bhB37iImHwgPrTYljXD+}bSyqNrlMqqI49;Rk=oYcKo%K@1eK%j zPW<+K+*6qu8C~coF^@b#RC^I-6}_;{5Sa!fv547Hr=R%5CtO3mwI$@T5cto}w3VXoMBL zGcbJlFxKEGF;M0t?aMg*g?#aa*lQS&^WHl9y>*{6<8CY91E)_aW6^vZCm zi;Wn{$|Nd_Nn!3G2*!yT#li#ZO?H$LKUHs1Bdl z=PO_9xm&RPOkQp?x!I4T5c{D+YZ~c=4X2d48jS}QrSr!emApxLH*f&wlwMLj_oJpkb zQ&o96(Y*Ebk@6nyf02%vgLV<2UDPYe$OQ3g9kYedx!tBe$HIYB=bozL%3HeFrBY4D z;&77FI@YU%j7?M~r*+JLQFcW$ET@;s z+;bMF^3vBY^t<&5p4+TnaBh8lQ+OS18*XeiXlylEv2EMtimjEjF&f*p(-D7g;jA=^A3B5wwB zvb?6ch~pV&k!(?<+NhiSDS&3ljxs5YqG#@cVON=y>3p=XUv9$mcr8E5C$nJ>CxFD8 zm2!wkdBo40i%Z&&jUdz#oy`Bj=Eiaf@P{{m^=+_c2+dX;v(+!~UaCpl*~%j&-P+rf9f+Sg_tYuoMaR+^VMOKhle^##;W zB5D(;IzhQloR?8OGhMd|Ng-L(BIxU28!X4n$(c{{+w{fy9UV>aBprUrMtOXv|38P~ z*ThdYx+C6vW3QHwT~@nps6>EW1{!yqp#;BMHH*v9gR}B@PSZJ-%?7eA2HCL=S}M!p zjzQYP{%BNwMdt}vYml6bARZn4aN-0cr)DT=&@~c z6PN|dsd^D3gp-r)7F?h;G7h6q;FroKluz!er;(kl-jOWtb@rksFD{IEi@``)Kg!Gm znpqrOAdHWb&dI@hJAgwCF>NCE;-s~b6%|&BhB)W6KcEM^5ou9CAYD);@aGra*KnZ* zW!{PvPfzg<`c6l?C<;nM`)-SB*Zu`tg~@#dOtYnj>Jet9^KDlCtk)RqhnFsh{}NM%%$?b`&tb*Pyf@fJKfW|2c9&BS0YLj#xU9b`F6I^ zis~p+3W-ImhvjD}m%7MgTvn7KE!%>hg(!HJo`o2lOy~p*{i9oloV``T>?Wpvw^p|$ z8$kZ8a66-E<=Em^@}S9@9)bTZJkTOL_19P`T`!<>1Nb&7Gcw;G$pE??_CtlrYxxXg zghFb{QH4Wu?W>HbB+5q(KIu}KznhiMh}hJ(i6*7?J)+(5KBx%GH$Q=P7p*12 zz%*H7E5*%khOBCjt<=$4A=M6^2^A$+aUYM0wJ5RpW&VW2r{|(m!FzAWAHyx?kmv*G|QfZ4ZG&kgo95&oX1(7{t)hZm^aN+k}`!aZoBf!OP> zd-fFc32`V|`Helt9szk4|zE<68P?EYIA z{o6oRG2vEPP7t~WKb9od?8fZ(Hob-BvXr#!{Gh*L+?QNmEmH{!$11OZ^t!ELA-W-G z{bp(GMR256P0?HlWi5h5?_8@s*|7t?&uns~V8a+tvm<#u59LN+h!mW$8PTK|72EKANZX+ zI0S(n;)W@`^9S!sAbt02k|p20L#B?Ht| ziHv{i_l3WGvkGDAty^P)gQ|bBB)6pTVwDU1-n8j}Q7F2q&tvRo6bSbWzuk3fi>bN@ zw#ub$z@M%jTRa3_ya%8Oe*i)m3R~8%nTW%XZ)jg~$#ItRC$t?XkQ$NOQ3xLd5qiqt zpaLgu{+)ETyv9}%lr=fLxU72^#?lii9eviJ&#D%J8C$v)m7S>hC<)M@DOeZrX&c=> zj6PefVgVveTrp%($ydwf2!qlQ9fvplM~|{81c(i$QE*=2m2+yzIjJS?mU=>)kJ-2; z%O4MyPabgz~IK>++)x`lJFF{saFuWObeF63M28%MJ zH#m)h)2wVrrNE?od6(G18N1zr(DblRlH2_Ki{Q;8RtI;!XVu?)^zIv9J`oN@uDtDq zi@N-F^w}yyTs;0{>v$(AG$o^YYf{gp^iT;a6 z0h(dw_pV%jE-lnz_$dtyhJ^cYlJhwo5R`%{9%*q&NMy1k$7I+0;K?8Yr7-)wt}gF9 zvaADTJ6OrQjz@cccl~&xI3+LwBW3q()YfhR#BFcd+w-tbj6b)4{MC+Cr1Y(sHU%Nv z5&}0pafh1zdf->ON9w^n*8p?(L6GN5=4Ra(O|?B=>ilMPYSPq^XU*6`1kegwkfgSS zeZ6o$tvCf=9(2;aS<(i7F{e?b9rzePNtyn$`+gQ=?}d^U!q!<+TPiI-mCC0xq`r^4EFmoLnC*Dbc{T&v(Aj9VwXa0PyiMXR z^QDQ~tw4e3H_RUbJrPHRDX090{wF4^NH8qI!FjrOfA06m?iOQi9-FZpMc zgA@j@4(gF94{H3MmE?TGeMw%)wTN84*?_)FXC*d*(F=G)+4&6#`dO$-Ryc;C(xIb~ zYX_paB4MUiAZOsT;_eUFa^l?O7I|M3WTQsQ5+&`NMKG;DMffE4l$E|z@O+3O<)k5s z@MMpr1Er+y)3sKvDrop%PCiI6mYe+0^g`wE2*#F4P-QiFqO60PoQs5jMIvt*> zX+W!r)X%O@5z^TWiHddj05-z@@jH#?xy4_s4u=cxO?X6-GVHPENp2#IebvE;Z3zdq zw*qMWb#_I|?XQyb;5`L?>tBW z6xUYE+1BN}wk*w4SV%!KxIExMA3 zAImB5wB+^i@FYge4?LnePPoVyCF(Vi2-)d}!}2+)M7Z>J3(dCH-_p^r*Z+~}iOHZs z^J@Hg7~q!t^(>qDJjfWte3EhtlyQwZU|^_?553Wp+i#1$VPj5nx8S?A-CIZbOrj@{ z#RMq?C!$D33|MV88s|mT*AGwL-&6R1@`G2St59V2{YxY?fmD+G^uG~N9$-|P$n}fJ z5n9UKqwmAG;%o1RMHDbgpmfXz%~2eP#xb`?dCmbpmpuM{4;7Y%|024>q~c*zFE@s} zJ-(Zt7geT|Ci$t{flI<{R-I$bdb93fbSvd*ylg&9uf`}Gn)!xn1lHC2geQc`w2c4p z+4cwj!jCwkl9#{JA?xDxtcWKQ7l)qzd$LGOB?(3F8F$H}=~p;O_jUR&L&87?%I(`6 zvh0E4dz*`}2kO15o!kr^W-4#r##TUO1WDCZM@+IB;jN0Q1oa?|6H(F(-gin9k5HsO zXB#f;upZu_eC9Qk-#Wo4T@FK!3a!JK;sbwt6lmiVw)kYq)UIbBtTjlpvs76T=?|V0 z+1T)k`Kt0t(#H`K~ z+U&oHR@#AXlReCbSY{(5?S|%v{e=x-4*mN`!!81x%r(27ovvT56mR}|_4*Aq@x9Oq zZSm(e*Ty-r+Lw4VxW}ZaTHOZr#(n*cn}xX^)ynXva`fCRXIAsYFk*NYFj=ZnyZB+VMc@Nfi?C@JGFwv3{oCo z+>jm4HY7st#AI~rUX{=_WPF0Bx`oUqrGZX*hOmN(KE)b2?~T~xIe5nxiUpvjpJRFF z;1pCwzgU%o;V&_O;L#G#TRWg~CP&OR+%Y;lYhBu``cj7*u2fhT+&TW)uttG!T-R9| z`{X0EH0fLnMhnHHsRNd0LRK%M2=igEj-{JU2WVk%XeE4gvb;Ao1ui&|!7jPS4i-~W z_hF0OG~s;b>33KE?S_BrQI{Au;LN&IldYl8Mm0vfE6RBf!qN=Y^lXsh&t0$Fn|Gge zHbw1gOX{)R5T`Or=-FTGxSbXBEH#)AeTJYFw*@|)@_ZYyE?gj?NYYaa3I=fCG8^vD z{8$F*!t7mrSs~~5^BJ*Z?l_ffi956NAIBq{@suOm;H^8dh7GfhM!W~$k?)tlF{n+g zbYSe=oDqQVT^#?p2K`KZxb?rM;m*)F>9l(+W;L|-YKxrI(BK;vvUrNF^4 zTQddj&-t;W3u^ZwYrFW~u6y*&7J}W(8O-#!15h-V+PCFUXCgwb3|L{4fkE_>1H&Yi zqInI0(|yePe^Gex{~@~&sv8>r_3Y_PPCLzk{I$;UpfLcw=49jm{rYdwz5wL=`5u}e zEQ2=7=Huha3~+$z;8v+9nnOaYF0uTRZWErQ`Obb^oURAg?1=TBaS`30CNKT*scdiw zJScKRM`DD2cI=-KFag9vk5}Dpsjv1DQ>o8Qx3-`;*>#VbiFnJQV{h;Ka%PciF?PE>$68jeqVD~4eeeUFBz4s!Be8BI5gGIKeKnFOjr7_`W`E%fg0^_$-m zI@@H181=!?Mv@3{CLvdt1F$<8^QJ)p?N9MBSIT;;lgADBwQ>H8iQvFKnsz$BmM+nx zM$)Lf)ZFkH^bu)bmwq;nOM(C=t=-^zmB33cgneaPMO86Mu}zT&#}b3v2nFm9sUr&u zJ~;jHxfJPSL1tslw`!Fcmwfz66$XnyA`t(!)Ddad6S0)&UnP| zSu;IhTfD6kcNDR?CTvT~w$fMmrFtD`zZHONp5meiiIsdpZ85O4h}JIdILE0Q%kbf( zed=NcLqWtvV)0WaKJaz#L`FGyRNpQ|Jstl0uY^7WCgQB^FjmjsV-$g{$wwBLH{*Hz zT63BcF{`iOHIVg`lJ9}lrUn{kohSsF!vv$F`5@dQzDT?IirU!< zm@pJQyAXe~5v~_*obMlkO5Z_k5^Uo&dMJsj1xhv~jafQF8oCZ`#;W;L4_)4XiRt0` zZ0^P&3*6|zT*g&{f6#od-}@2F z;eCQ@RDnl}*%j2;@+ZJjT`vqOTBAaF&G>a>I*<_`Xy<7mWn0b>S5^nX@X=oN8XdE2>t4`TUt}J2at{CEy_XCoU|LzR6>ozM2s*>o4%~`%3{8d;f{dK8Z)v zLgJ?z5z~Ir#321ClAW2qJ$uf9vF#nqAFj}HGAH~N+F&Y4a7tYKRv?KT0J=*((fo1D zv$xr&G_)flTH7m1vfP^H{hgYaoepD8C_7fSf16o73w%)r-iC$2DG0cW?PIE*^wB1WYIy#CBGpCPJ+i1_TXpq0a1Spjgy z5BXoux}8&$7Mv`sFwW*b%aNv`momgEe`S&XCWi{*m<{JXU{Y7IJ*XO4)98fGRe`p! zx2vA+E4%;2L5xk^kye)8mOII^DVzTUJME83nN~VUgB%F*9g%}0WiJO;%VtP;8+NWA z@9`{BekDOx9a3EA4T8!kuhs@m)yXC7PjDNSC6y^vg1a{AFCST6M|cM5M3i?`?vf4a z^{NGlkIf6%0{^sBppLM*Ec?;)Vj1G6#qc6{3sSPCjNr{61@+&t@y{&lT^Do`2DI5@ z*QjU7w=$K;oRps_J;-(mVG@AWd2Ajr9^TLTlq*x38jf@{B?jl zI5`P257prmZ?gW?Y6!arVfp$BD0kD()FbwA0i%O=zBK#zeH&wro2$284&X9&OL2u@ zIpZc4xALJ&Uud0E7;1a|^cY9Ht{e?FsryRgrnWls!TtF`+B|jx0^kylO_i2VOPr;t ziE;Rpdnn2dHxYIM70TFj73@d!YpRlsmE-+=6Ek0KowEMRG;KM=YF-^Bt)(K4V0ZiT zr%=)AV$VLNA1ai=&({qoE-E!~d(`v3J{mY#2?pa1K_tdy#$3gD z#iX@*+i}}WZBP>65&aQRW+~$!-q~|sU}s=~h6e-MH3*T@7)V5-@!OUfb-gC7=b45q zwY_{dz8DVWeFg8gToxgo*%w=UWOq`F_Y<`CBuz{(-AUDrcl3Kv$FCAXeP`!f{`I^| zTxkPkJuCdDkX-p>(JA!4TA7m8nIb-W2$T4Lm4G4iww^PAImE!{hh;&cT7%*3TQ8_% zkhG(i$#@g%`%?r^rAE1?^=^p|d|BDZpHmCu&hd?zQ3MPJ-7=7rbkc+o>}If+5i8~g zRuzVauKiMO#ZRCe%SuMlpShcf(Ca*qb3bKi@;k%>4ULbxmtcl0=Gtg!3G9}-%7HYm zkY*Q9r2KL`t@)%xxAwXD9!9faCgrxSb@F*#rXpbgQSOs|AI~u73tyvKB_-QEFBZ4o z+S3nI#yUE&@9K7HYJ`BrNfK1*^uuFXGp`}GRkf7V>5_a5NSQU?&i>xRm`^HbnQ)Q> zWHr^aWgYcW2pCxWgic z`?9N{uUR6i=Y^4x>vye69LRV$sJ~gUqvjrsa0PNm(;YHeYM%6cL{0b{l>3PHf2y%; zr4|c&gF1+wY7NjGbjW?95Ni;9!c(ImswfbzB)m#WCUpjS#WN+n0F<=GV<_gm-A62e z*_BnjCd#c{%;MG00<4)P9>$Qq*0QoLAO7C|?g^JbIaiXd=h^tKq1oG#$uh@G`jJGx zB$17$yI@!_ibUqRn(4k2q5ImaV!uIO&yHi5VIv1Z89};Y|Y-pSF7%Dp2 zA))S$%2dj`XOPWWrbZW#@UKCH0s0&%N~@PW`8mSgaI=nFsMBd9T|>%w&FWT4Ysi~V zv7PtqgK}gkHEJ8DrGG0i(=i*$V0=n|yI}=gzkb8II0=~jo0`3?&t|9%gZ`SYk!A9e zYW23>!d@&-^}!35Y})k5gl3K_+Zg;OftBCwz6upJhDAacA>fdJ5Zk9pFZ4GNsofUP zo@J2SCA?9E>ukRLhI>nRMt=(#*SeeTJ|yepHf)x#q@{eEBDZP)F$ZTGmu*^cN;2X&*I>9^@*@Y{sB^Y#Mp zozwcmM6GuyHXu?6W^O|Gy)Vjnz!n$Om;32Tp5Og9oNtw`&A9S(^Y0YCc6{4qz`3AMFNGRvz8*MH=Vsm}%BF4HR3 z6GL}vuGV2J*~FcsxJE8QLFy*}n5F~6rp!g3}UQz2Dh&7n|ed{e~`-lzw6^Mu%1p6D1d`tHZ6`5>=W%B zm#V4ASU3t^Lj)PIYCqU5edR}rwGDu8yF2)K|iylt4PA{U|dE^Xhvnt zAC}wAcIzj__PWh@NrwE0awV!|)0j80kx{>rkwvG*!cmLp0wo?mW>outvG`=h(xDdr zEHXbo=alQ2?$#3HsVpFIYr_X4@W%$Zw3CPxe+r-|QILTn zn1xt%pF#$KtZN*A99Sj~r7_Z;3{hPU8o$gPH&EXaPBK9oTBVRXYO`^2Gxj!fNqx@q ztRJ`y?Zn3zKG#yVVy^(ic*1*!P_Y{u!rO6CV6|xIB72*~9_O=(?}&N=5fTY{Yz{5v z7?FRZqUy!4ex3L|>OS_Xgjn|wy`$g_^|TH4HR$&V&Bi8{wYCqD+%E#>TmEPW>}$Gu zaaVw#xP`CS#7e&&e)F|* zK?GPpC?Ty9awOX@#kXe6t8z5dnIgq9^o}EqbAh*IUM^JXtP(2br&_fiA>OHRl9w#5 zw*P?9Ol)Zx;YE-UaqB=IE06PaGkW|MJhBBWrG!6Bs_M>|sfOSEYz?k)LJ z_*2t&WBF43ma_3W4Z!lk!)CqNj}gbs>;{4SFwQ++x!YzAJm2k++7*!HQ5(dBz}>0( zwAp$?M(d9ro(}Zz5}r=Y)GQva*-4Sm9Fbb(l|bC}Gm__MEkMR`*Iz-l-0N*`rzBJ+ zb+v5JebTZ$WB`I~{QX{1ToSJs_5Dgyj-Y=oYZRW__a)VGor0F|P^!kTP5_K_TwYxg z?G!7Ekkb8d_g~1hBZ7xU^U9l(_&A%s%PR|a-YDL7MB5)Yx7IjYDi+NFlfXpPTsP+e zu@78{nxl-e)76+M`-6?3YLhbhk}y8{Z$)8f+d$gvxPe`im5cnir$n0@-6vsE`@s8Z zkl>>q|9x2@95SnO-Y8nDkyaq&2ZTs?NW13Lif>oMOgI!wfvWexmmR6+4 z)mc=sz7^4W*6mlUL3uF<<9WuJ5BEva-~~yi1T~n%gj7Xm_TF0M zt#tCY0OSxsSBuexekT;2pOeKd$oAXwmwffwc2w#3t5gWVdUGeJ1#}0N>F2eAfx6H9 zCsJhgro2&+nx49Y)VK-g#EjH9@=+o)p!3^omKo1N)_j%4-EiD? zP+N-W0541mmzDDM(|P)-G2@{$#_6u+8s<&Ap^u-(IcpA4-)O4Ta0OXYF8Ve3SmNRK zobe!J=D*R=&{StSX9l7bmKgHX!C)l0B zW?pk9!wmu5NdBiLRF&ba9g!WX=r3uiQov8KB&iuaB0QOTYbaNfhnm?GJk?@zChYvx zxE$=dpGul>@(6RF;_U1L(&3?10?2GXGsRiGWl3XEuWB2*^(Hd<;WRuh(qdAY_*naP zENr{#L+{ap%F1dx)!k+`Q$*P)L?dcd1mpU+E+{lbg5Ve_A)1(xXBsl;*Vcwc@k~DY zQmqbkf5kIvNMkmKp|WS3hdnP=fNkXL>i4j%er#^buN6@UmB=g=$%xC6Qpg7{$?f!yYj2nuBze(MHL5lHYnCbi)cH zqk^A*ax%i0ixVQ9XMydk8hIDKXbT>??t?5AMjscC`f6~swr#7~H=v10Iwc)y-dp18 zlaWGqy%Hx?*H(1iH}poKgv9MDhU(kDQf>`E*wb?k$Fg6hct9dmBvFUWgT}zL~J9tZ+z4m9rhup@_VpluI9N$Evc;TZeMtpM4KEav+0# zGwuv;7-1QusoQFh4KG+vKkx>B375o07L=`++CP~N532We|d@&;=4L$-y5(%arN%K4|qK=`U z^S=KTdJY9*(US2<8)Tx2GZWUZP^&+s!_>mBRNeh)=I8S$2KrXTtnY~Y%{0b9?+327 zMrmZQV~Ye?I|O3}X4RFTtS!U|m;76>0A8kcU5$>(@KvuO`@g5%zf0%!inuO8I<`T| z0>*1aR6U|$)t^+YNCekSahou(JsP=$gm&mgasQpSZW(7}fVKT!+XP=;TL5CIw}V+1 zJT=QhTYQFXE^DEU(pB80U);S(uj@@%;4V&xvTD}2I0PVC|CEtQ&&-*-U9OI8wygL} zbiG;mn_hJKrwn|NZ5St$b(?l=)$}=~BdnRctG~aGUF>R~TsvsFzgbTDCEm9XqcD$8 zpVlKk-Yz&nYo~?(k8nZ#ce80!1e??^rU88Qh zDDuv?mB2Zu4MWoU^v;Y=`+ceO{NS|6uBAF{`xQaY$Ic7Aa{%rct@$~#(Uf6hNy#`V zm_+oLjO1_S;d$K>T(To+$33M))lE3nR3Xj}%Sk! z0)L_WM16kyu3opSdUL1q!q6TqazTgnu4h+tHVvPd-~4Jw(O zWij_bkKmnU=$iA1{B?;_+w;08Hq-E29cUWiryI=RwI*ciG+{lnS05M04Z+9r-i^)+hA0RjFeRR)zU7 z8%|K_a9O*l%8Y>yiOiRGE?y-Q zPqgHASi&E4^E~oF8Kksi0bT5HI13G8_@&1LBXn3O-C(}4x8IkvKU#kveu78N z*C3h~$M&>>UR%KDxiE97f*`;Qm&ig`nLJWLQYbW;dLf*BIKeKmNeao)P}WJ)zys@* z0V?fVrGyt`b7sO(QL?{9Pw!?Zw*mwhkTXca^*|BX0=#amc@2Vj8)70EdFVXJF$9MP|4ko>ud=y z#(3B`c=40EbIQy>O4bATj6Nn~4q`!tNL1@ow4uikox3Fy>pI zWMd`B(!T8A20WD?HXQL0BKWnmzVq_+D0-hC4Lm3PK(^QGNmJk-#UVJnVdM#Km(bfC z!Inemq-)Dc!2l^*!ZXUZx>x&8gf{5DTo_{;s1W95J3q#6Z<`j!jcV<)7kgkv^ytiq zN>}{6bYN93{c95Mvy7{KJuGmyCY@Hh`ovD_;O;s;_%F)iy1#fo^=tL`;LdWt>FHl9 z-?u_HT^sXr12VzE5;a-{m0-^qa*mzz&eigA%hx_CK6MiQKg7pWtoY7VJroBEj7G#@_C+ zFBm{7IDF1xg@A2@gdz`9`t%p65=C>YbVuf1=F?($upDsOjejbDYU_?`t8jaz=`R0QJwMdVP_3XvTN|mhuK&qT~TCaRn$F&<5!&QAuv% zHG;)4YH z4k$)I|j0YR=9J$(S8&P&GtPuc!M;cJfQa?+K+%F#nR%^89Hes^Ww$o@+2fhH9sgTSnpS8yDV&|LZB1 zk5nYGws`#;uH;=t-pj#F>2-sYl6w~QNJIio=vDn#+J>8u7BZECk7MqH&d(S)YxQNH z#ftT@A+wp^Z<8&4q@-i#>(KXB*SI~O;O~@-n@mfWk3H1(Nj0rGTqjB*p+R$@GWp)s z)6zcW`tWo%lHnpm7lYVL!X+l!+QK4ZE3ZLZTB&z1@sMnc(}^>ZuWy;>n3RZcSv9k3pFz&iS15$F)29m-IxvEQ?!=2 zF-zK;4B&d%nR?_4OU_k3`$9kMe-@HKlOUrLtWYMLvL!ganV?BOyp(;0bUSZShxwu` zO*C4VwPK2%;X*R4^|dk7_w5mHSiPRoBCLUl(p@NYog+!dWJUpk=h)!m7~!AwO4cp1 z*_cq~dV8H$aDmIHZfgj254$M;&r;)$>2yV*`=2E;NVMOONl1kH&G7db#t(9~q@0Z@ ztwRFGJ+BMK>!K9eqL zI3xp8H{6bqkT(PoWK~f^NEcu{%&odLFgG1`iT z*KC)PxIjGxsL5Q=6Q~fMQn^h z@P9v))g4CXE*j6sRW6v%8Zx>8nCEF_FN9O_9ty9sL+~SS(Lbgd+0n2xCVl(BwdFK< zm3DceQiZSa-)tYd@Y^K+l|qnyW{;5~%y~IL*%D<0+7DWagV)SHR??#U`;(AFn%2dI ziU@HT5`ApPhMJa-UYv<4Sl{B-bq(hOY@KiXZ4{61lnnYjF8+MHOg4UA5&uO-dle1A zS+lu-BnyQ(W&lUjZ?Qb9U=!gm8Rx)vGn_wKS1$A-Ht?JB_$mw{EkW90Bn{#`c+}of zD~0?L5VYayBnFJw_;j_aNV{;J7Zd#Ln)u8r$xF|LxV3I#IAhmOOus#_RKlRSa-CR7d z>CBo#*?xT$2n2aUipTjfFjS>R^=$mI=0ZT#X`;_Ap)3j`s&q#UH~I7$v4sA?lwL#!FxIAF;!>Lo;j!`B7Z-vk6r)p1sQ1hy`Rx%jDZ)= z*9@0-_q=qkYFv$KtKB7B&Cy-saN$gtFh&*t0NB4YCZqDtI=lic> zw1r*Qmy{4G$b#g9^kP*kHylXiX_6Kvn{^tA)! zVF74Rm132+=$>ePzn`+EHoN3&fA(mo{GBLLp*#J~tK^Z`nT%>HXxo+gEh}nn@uB^P zF`qV3WK*@9<39r^<^E^>wQ2C+a1o{6~;QD{(c}{`flR9BL{ // WiFi credentials -const char *ssid = "KARAN"; -const char *password = "12345679"; +// const char *ssid = "KARAN"; +// const char *password = "12345679"; +const char *ssid = "RIZALDY"; +const char *password = "capputo322"; WiFiClient client; @@ -12,6 +14,8 @@ WiFiClient client; void setup() { Serial.begin(115200); + // pinMode(2, OUTPUT); + // digitalWrite(2, HIGH); Serial.println("ESP-01 ready"); WiFi.begin(ssid, password); @@ -32,6 +36,8 @@ void loop() { if (WiFi.status() == WL_CONNECTED) { + // Serial.println("Wifi connected"); + // digitalWrite(2, LOW); String IncomingStr = ""; while (Serial.available() > 0) @@ -43,7 +49,7 @@ void loop() if (IncomingStr.length() > 0) { - + Serial.print("Wifi connected,"); IncomingStr = IncomingStr.substring(0, IncomingStr.indexOf('\n')); String jsonSent = "{\"value\": \"" + IncomingStr + "\"}"; @@ -57,15 +63,15 @@ void loop() if (httpResponseCode > 0) { - Serial.print("HTTP Response code: "); - Serial.println(httpResponseCode); + // Serial.print("HTTP Response code: "); + // Serial.println(httpResponseCode); String payload = http.getString(); - Serial.println(payload); + Serial.print(payload); } else { Serial.print("Error code: "); - Serial.println(httpResponseCode); + Serial.print(httpResponseCode); } // Close connection @@ -74,33 +80,35 @@ void loop() } // Send data to Arduino - Serial.println("Hello from ESP-01!"); + // Serial.println("Hello from ESP-01!"); } else { // Reconnect to WiFi if disconnected WiFi.begin(ssid, password); + Serial.println("Wifi disconnected"); + // digitalWrite(2, HIGH); // Wait for connection int timeout = 0; while (WiFi.status() != WL_CONNECTED && timeout < 20) { // wait for 10 seconds max delay(500); - Serial.print("."); + // Serial.print("."); timeout++; } if (WiFi.status() == WL_CONNECTED) { - Serial.println(""); - Serial.println("Reconnected to WiFi"); - Serial.println("IP address: "); - Serial.println(WiFi.localIP()); + // Serial.println(""); + // Serial.println("Reconnected to WiFi"); + // Serial.println("IP address: "); + // Serial.println(WiFi.localIP()); } else { - Serial.println(""); - Serial.println("Failed to reconnect to WiFi"); + // Serial.println(""); + // Serial.println("Failed to reconnect to WiFi"); } } delay(2000);